Open Access Paper
21 November 2017 Front Matter: Volume 10451
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10451, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Photomask Technology 2017, edited by Peter D. Buck, Emily E. Gallagher, Proceedings of SPIE Vol. 10451 (SPIE, Bellingham, WA, 2017) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510613768

ISBN: 9781510613775 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time)· Fax +1 360 647 1445

SPIE.org

Copyright © 2017, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/17/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00004_PSISDG10451_1045101_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

Abdelghany, Hesham, 1N

Abdo, Amr, 0V

Ackmann, Paul, 1M

Adam, Kostas, 0W

Adelmann, Christoph, 0P

Ahn, Byoung-Sup, 17

Anantha, Vidyasagar, 0L

Ando, Akihiko, 0K

Aupperle, David, 1D

Babighian, Pietro, 1L

Baron, Stanislas, 04, 0D

Batarseh, Fadi, 1L

Bevlin, Kristen, 15

Beyer, Dirk, 11

Biyajima, Tsuneaki, 0Q

Bork, Ingo, 09, 1T

Bouaricha, Ali, 08

Braylovska, Mariya, 0S

Brunner, Johann, 14

Buck, Peter, 09, 1T

Burns, John, 05

Buttgereit, Ute, 13

Candia, Nicolas, 14

Cao, Liang, 0Z, 1J

Cao, Yu, 0D

Capelli, Renzo, 0J

Chen, Been-Der, 04, 0D

Chen, Hong, 1K

Chen, Norman, 0Z

Chen, Yu, 06, 1N

Chen, Yulu, 0S

Cheng, Jackie, 0X

Cheng, James, 0X

Chien, Albert, 0X

Choi, Jin, 17, 1B

Chou, Hsin-Fu, 0X

Chou, William, 0X

Clifford, Chris, 0W

Conklin, David, 0V

Cross, Andrew, 0L

D’havé, Koen, 11

Daneshpanah, Mehdi, 0X

De Simone, Danilo, 0L

Deshpande, Rohan, 1L

Desineni, Rao, 1L

Desouky, Tamer, 1L

Dietzel, Martin, 0J

Dornbusch, Kay, 13

Durvasula, Bhardwaj, 09

Eah, Sang-Kee, 1L

Egodage, Kokila, 16

Eitapence, Scott, 0L

Elshabrawy, Mohamed M., 0T

Fenger, Germain, 0W

Fong, Weichun, 0D

Foubert, Philippe, 0L

Fujimura, Aki, 03, 08

Gallagher, Emily E., 0P, 0R

Gao, Shaowen, 0Z

Garetto, Anthony, 16

Geerpuram, Dwarakanath, 15

Gehrke, Ralf, 0J

Ghim, Young-Sik, 1R

Ghosh, Dhriti Sundar, 1S

Giesbers, A. J. M., 0O

Goodwin, Francis, 0S

Gookassian, John, 0Y

Goonesekera, Arosha, 13

Grigas, Michelle M., 1A

Guajardo, Marco A., 1N

Guo, Eric, 1T, 1U

Hœggström, Edward, 1Q

Hagihara, Kazuki, 0H

Hamaji, Masakazu, 04

Han, Zhenxing, 14

Hayashi, Naoya, 0H

Hayashi, Tatsuya, 1B

Hellweg, Dirk, 0J

Hirano, Takashi, 0K

Hiura, Mitsuru, 1B

Horima, Yasuaki, 04

Horiuchi, Toshiyuki, 0G, 1I

Hou, Jiechang, 0Z, 1J, 1L

Howard, Sam, 14

Howell, Rafael C., 0D

Hsu, Stephen D., 0D

Hu, Xiang, 1M

Hung, Dan, 05

Huyghebaert, Cedric, 0P

Imoto, Kohei, 1B

Inderhees, Gregg, 1D

Inoue, Hideo, 17

Ishikawa, Hisako, 0Q

Ito, Kaiki, 0G

Ito, Yoshiyasu, 0H

Itoh, Masamitsu, 0K

Järvinen, Miikka, 1Q

Jenkins, David, 13

Jeon, Chan-Uk, 17

Jiang, Wenchao, 1J, 1M

Johnson, Chris, 15

Kalsbeck, Bill, 1D

Kamo, Takashi, 0K

Kassamakov, Ivan, 1Q

Kersteen, Grizelda, 0J

Khalil, Ahmed, 1L

Khusnatdinov, Niyaz, 1A

Kim, Young-Gwang, 1R

Kimura, Atsushi, 1B

Klootwijk, J. H., 0O

Klostermann, Ulrich, 0S

Koch, Markus, 0J

Kohmura, Kazuo, 0Q

Krumrey, Michael, 0R, 10

Kurganova, E., 0O

Lam, Michael, 0W

Laubis, Christian, 0R

Lee, Heng-Jen, 0X

Lee, Jae Uk, 0P

Lee, Sang-Hee, 17

Lee, Yun-Woo, 1R

Li, Chuanhai, 06

Li, Yifan, 1T

Lin, Chenxi, 0D

Lin, Yibo, 0A

Litt, Lloyd, 1M

Liu, Tsu-Jae King, 02

Lu, Colbert, 0X

Lu, Cong, 1T, 1U

Lu, Yen-Wen, 0D

Lucas, Kevin, 1N

Luo, Larry, 0D

Lyu, Shizhi, 1T

Maniyara, Rinu Abraham, 1S

Marokkey, Sajan, 0S

Matsumoto, Hiroshi, 17

Meersschaut, Johan, 0P

Meyers, Gary, 07

Mishra, Kushlendra, 1T

Mitsuhashi, Takashi, 0C

Mo, Delin, 1T

Moore, Bill, 05

Morgan, Michael, 15

Morishita, Keiko, 0K

Morohoshi, Hiroshi, 1B

Mouraille, Orion, 11

Naka, Masato, 0K

Nasalevich, M., 0O

Nie, Qiuping, 1D

Niewczas, Mariusz, 08

Ning, Guoxiang, 0Z, 1M

Notenboom, A., 0O

Ogata, Kiyoshi, 0H

Ohara, Shuichiro, 04

Okubo, Atsushi, 0Q

Olate, Juan, 07

Omote, Kazuhiko, 0H

Omran, Ahmed, 1N

Ono, Yosuke, 0Q

Otoshi, Kenji, 17

Ou, Jiaojiao, 0A

Pallachali, Muhammed, 1L

Pan, David Z., 0A

Pang, Leo, 08

Parchuri, Anil, 1T

Park, Jong-Mun, 17

Pearman, Ryan, 08

Pei, Jinhua, 06

Peter, M., 0O

Petrov, Nicolai, 1L

Pflüger, Mika, 10

Pollentier, Ivan, 0P, 0R

Preil, Moshe, 0L

Pruneri, Valerio, 1S

Qian, Jin, 0L

Raghunathan, Ananthan, 0W

Rao, Nageswara, 09

Reddy, Murali, 09

Ren, Yuping, 1M

Resnick, Douglas J., 1A

Rhee, Hyug-Gyo, 1R

Richard, Olivier, 0P

Roelofs, Christian, 11

Rojas, Carlos, 0Y

Russell, Guy, 13

Ryan, Deborah, 1L

Sah, Kaushik, 0L

Saito, Yasuko, 04

Samuels, Donald, 0V

Sanapala, Ravikumar, 0L

Santo, Izumi, 1U

Sargsyan, Vahagn, 13

Sathisivan, Mogana Sundharam A/L, 06

Scholze, Frank, 0R, 10

Schulz, Kristian, 16

Scotti, Gianmario, 1Q

Sezginer, Apo, 0X

Sharma, Malavika, 09

Shendre, Abhishek, 08

Shi, Irene, 1U

Shokale, Shweta, 1L

Shu, Vincent, 04

Singhal, Shrawan, 1A

Soltwisch, Victor, 10

Spence, Chris, 04

Sreenivasan, S. V., 1A

Steinert, Steffen, 11

Straka, Joachim, 14

Sturtevant, John, 0W

Su, Bo, 08

Su, Jing, 0D

Suman, Shishir, 0L

Sun, Dezheng, 0D

Sun, Lei, 05, 0S

Suzaki, Yoshio, 1B

Suzuki, Yuta, 0G

Symens, W., 0O

Syrel, Oleg, 08

Tabbone, Gilles, 16

Takahashi, Hiroshi, 1I

Tamamushi, Shuichi, 17

Tan, Alexander, 1D

Taneichi, Daiki, 0Q

Tang, Teck Jung, 1L

Taniguchi, Rikiya, 0H

Terry, Mark, 1L

Tian, Mingjing, 1T

Timmermans, Marina Y., 0P, 0R

Twu, C. H., 0X

Ueba, Ryosuke, 17

Vainikka, Tuomas, 1Q

van de Kruijs, R. W. E., 0O

Van den Heuvel, Dieter, 0L

van der Zande, W. J., 0O

van Dijk, Leon, 11

van Haren, Richard, 11

van Zwol, P. J., 0O

Viswanathan, Ramya, 0V

Vles, D., 0O

Voorthuijzen, W. P., 0O

Wang, Feng, 1L

Wang, Shibing, 0D

Wang, Wei-long, 1J

Wassal, Amr G., 0T

Westerman, Russ, 15

Wilkinson, William, 0Z

Willis, Jan, 03

Wolke, Conrad, 0J

Wood, Obert, 0S

Wu, David, 0X

Xu, Xiaoqing, 0A

Yahya, Siti Noor Aisyah Binti, 06

Yam, Petrie, 14

Yamada, Hirokazu, 17

Yamanaka, Eiji, 0H

Yamashita, Hiroshi, 17

Yang, Ho-Soon, 1R

Yeh, Mike, 0X

Yin, Haizhou, 1L

Yoshikawa, Ryoji, 0K

Zable, Harold, 08

Zachmann, Hendrik, 13

Zanelli, Claudio, 14

Zhang, Bosheng, 0X

Zhang, Cuiping, 0D

Zhang, Dongqing, 1J

Zhang, Hongxin, 0Z

Zhang, Jie, 0Z, 1J

Zhang, Qiang, 1D

Zhang, Quan, 04, 0D

Zhang, Yixiao, 1L

Zhou, Xiangyu, 0S

Zou, Yi, 0D

Conference Committee

Conference Chairs

  • Peter D. Buck, Mentor Graphics Corporation (United States)

  • Emily E. Gallagher, IMEC (Belgium)

BACUS Steering Committee

  • Frank E. Abboud, Intel Corporation (United States)

  • Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

  • Michael D. Archuletta, RAVE LLC (United States)

  • Artur P. Balasinski, Cypress Semiconductor Corporation (United States)

  • Uwe F. W. Behringer, UBC Microelectronics (Germany)

  • Peter D. Buck, Mentor Graphics Corporation (United States)

  • Brian Cha, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Jerry Cullins, Hoya Corporation (United States)

  • Derren Dunn, IBM Corporation (United States)

  • Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

  • Aki Fujimura, D2S Inc. (Japan)

  • Brian J. Grenon, Grenon Consulting (United States)

  • Jon Haines, Micron Technology, Inc. (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Patrick M. Martin, Applied Materials, Inc. (United States)

  • Shane Palmer, Nikon Research Corporation of America (United States)

  • Jan Hendrik Peters, bmbg consulting(Germany)

  • Moshe Preil, KLA-Tencor Corporation (United States)

  • Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

  • Thomas Scheruebl, Zeiss SMT GmbH (Germany)

  • Thomas Struck, Infineon Technologies AG (Germany)

  • Bala Thumma, Synopsys, Inc. (United States)

  • Anthony Vacca, AVI-Photomask (United States)

  • Michael Watt, Shin-Etsu MicroSi, Inc. (United States)

  • Jim N. Wiley, ASML US, Inc. (United States)

  • Larry S. Zurbrick, Keysight Technologies, Inc. (United States)

Conference Program Committee

  • Frank E. Abboud, Intel Corporation (United States)

  • Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

  • Artur P. Balasinski, Cypress Semiconductor Corporation (United States)

  • Lucien Bouchard, Photronics Inc. (United States)

  • Byungcheol Cha, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Uwe Dietze, SUSS MicroTec Inc. (United States)

  • Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

  • Aki Fujimura, D2S, Inc. (United States)

  • Brian J. Grenon, RAVE LLC (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Izak Kapilevich, Applied Materials, Inc. (United States)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Byung-Gook Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Lloyd C. Litt, GLOBALFOUNDRIES Inc. (United States)

  • Paul F. Morgan, Micron Technology, Inc. (United States)

  • Takahiro Onoue, HOYA Corporation (Japan)

  • Shane R. Palmer, Nikon Research Corporation of America (United States)

  • Moshe E. Preil, KLA-Tencor Corporation (United States)

  • Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

  • Thomas Scheruebl, Carl Zeiss SMT GmbH (Germany)

  • Yuyang Sun, Mentor Graphics Corporation (United States)

  • Banqiu Wu, Applied Materials, Inc. (United States)

  • Shusuke Yoshitake, NuFlare Technology, Inc. (Japan)

Session Chairs

  • Opening Remarks

    Peter D. Buck, Mentor Graphics Corporation (United States)

    Emily E. Gallagher, IMEC (Belgium)

  • Keynote and Invited Session

    Peter D. Buck, Mentor Graphics Corporation (United States)

    Emily E. Gallagher, IMEC (Belgium)

  • Mask Data Preparation I

    Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

    Peter D. Buck, Mentor Graphics Corporation (United States)

  • Mask Data Preparation II

    Paul W. Ackmann, GLOBALFOUNDRIES Inc. (United States)

    Peter D. Buck, Mentor Graphics Corporation (United States)

  • Machine Learning

    Aki Fujimura, D2S, Inc. (United States)

    Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Photomask Japan 2017

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

    Takahiro Onoue, HOYA Corporation (Japan)

  • Keynote Session: Joint Session with conferences 10451 and 10450

    Eric M. Panning, Intel Corporation (United States)

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • EUV Readiness: Joint session with conferences 10450 and 10451

    Eric M. Panning, Intel Corporation (United States)

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • EUV Mask Inspection: Joint session with conferences 10451 and 10450

    Paul A. Morgan, Micron Technology, Inc. (United States)

  • EUV Mask Metrology and Inspection: Joint session with conferences 10450 and 10451

    Bryan S. Kasprowicz, Photronics, Inc. (United States)

    Thomas Scheruebl, Carl Zeiss SMT GmbH (Germany)

  • EUV Mask Pellicle: Joint session with conferences 10451 and 10450

    Emily E. Gallagher, IMEC (Belgium)

    Dario L. Goldfarb, IBM Thomas J. Watson Research Center (United States)

  • Photomask Posters

    Uwe F. W. Behringer, UBC Microelectronics (Germany)

  • Mask/OPC Interactions

    Paul A. Morgan, Micron Technology, Inc. (United States)

    Byungcheol Cha, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

  • Metrology

    Moshe E. Preil, KLA-Tencor Corporation (United States)

    Thomas Scheruebl, Carl Zeiss SMT GmbH (Germany)

  • Student Session: Joint session with conferences 10451 and 10450

    Yuyang Sun, Mentor Graphics Corporation (United States)

    Shinji Okazaki, ALITECS Company, Ltd. (Japan)

  • Photomask Lithography, and Mask Process & Repair

    Brian J. Grenon, RAVE LLC (United States)

    Uwe Dietze, SUSS MicroTec Inc. (United States)

  • Nano Imprint Lithography

    Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Panel Discussion: Joint with conferences 10451 and 10450

    Byung-Gook Kim, SAMSUNG Electronics Company, Ltd. (Korea, Republic of)

    Fei Wang, Hermes-Microvision Inc., USA (United States)

    Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

    Jeff Farnsworth, Intel Corporation (United States)

    Shusuke Yoshitake, NuFlare Technology, Inc. (Japan)

    Weston L. Sousa, KLA-Tencor Corporation (United States)

Introduction

The 2017 SPIE Photomask Technology Conference was held September 11-14 in Monterey, California, co-located for the first time with the EUV Lithography Conference. The organizers of these two conferences recognized their synergy and thought that a united conference would have value greater than the two held separately. Indeed, the combined conference integrated naturally and the increased attendance gave the conference a momentum not experienced in years. Since their first date went so well, these two conferences are expected to remain together for the foreseeable future.

EUV lithography readiness for high volume production was the overriding theme of the conference with joint sessions on EUV readiness, pellicles, and inspection/metrology. A joint panel discussion explored options and strategies for early EUVL insertion without initial actinic inspection availability. The general consensus of the panelists and attendees was that this was not a technical barrier but did have a large impact on complexity, cost, and cycle time.

Machine Learning is gaining more and more attention as organizations attempt to make sense of the huge amount of data collected in the process of manufacturing masks and integrated circuits. This year, for the first time, Photomask Technology included a Machine Learning session that explored use models and applications for this exciting emerging data analysis technology. Papers from the conference, covering the latest progress in all areas related to photomasks, follow in this proceedings.

Peter D. Buck

Emily E. Gallagher

© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10451", Proc. SPIE 10451, Photomask Technology 2017, 1045101 (21 November 2017); https://doi.org/10.1117/12.2293163
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Inspection

Image processing

Lithography

Nanoimprint lithography

Pellicles

Back to Top