Paper
28 June 2005 Advances with the new AIMS fab 193 2nd generation: a system for the 65 nm node including immersion
Author Affiliations +
Abstract
The Aerial Image Measurement System, AIMS, for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability for critical structures including dense patterns and defects or repairs on masks. The main benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer CD-SEM resist or wafer analysis. By adjustment of numerical aperture (NA), illumination type and partial coherence (σ) to match any given stepper/ scanner, AIMS predicts the printability of 193nm reticles such as binary with, or without OPC and phase shifting. A new AIMS fab 193 second generation system with a maximum NA of 0.93 is now available. Improvements in field uniformity, stability over time, measurement automation and higher throughput meet the challenging requirements of the 65nm node. A new function, “Global CD Map” can be applied to automatically measure and analyse the global CD uniformity of repeating structures across a reticle. With the options of extended depth-of-focus (EDOF) software and the upcoming linear polarisation capability in the illumination the new AIMS fab 193 second generation system is able to cover both dry and immersion requirements for NA < 1. Rigorous simulations have been performed to study the effects of polarisation for imaging by comparing the aerial image of the AIMS to the resist image of the scanner.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Axel M. Zibold, E. Poortinga, H. v. Doornmalen, R. Schmid, T. Scherubl, and W. Harnisch "Advances with the new AIMS fab 193 2nd generation: a system for the 65 nm node including immersion", Proc. SPIE 5853, Photomask and Next-Generation Lithography Mask Technology XII, (28 June 2005); https://doi.org/10.1117/12.617508
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Scanners

Semiconducting wafers

Polarization

Liquids

Reticles

Critical dimension metrology

RELATED CONTENT

Absorber topography dependence of phase edge effects
Proceedings of SPIE (November 09 2015)
Full field imaging with a 157-nm scanner
Proceedings of SPIE (May 28 2004)
Using the AIMS 45-193i for hyper-NA imaging applications
Proceedings of SPIE (October 30 2007)
Impact of flare on CD variation for 248 nm and...
Proceedings of SPIE (September 14 2001)
Mask error enhancement factor for sub-0.13-um lithography
Proceedings of SPIE (September 14 2001)

Back to Top