In recent years the promise of EUV lithography became a high-volume-manufacturing reality. With already more than 160 EUV scanners in the field worldwide (and counting!), EUV lithography has now a solid footing in market and is currently the main enabler for the latest generations of chips we all know and use. To enable the future generations of chips, with even smaller feature sizes than what we currently have on the market, ZEISS and ASML are developing a new generation of EUV tools, where the numerical aperture (NA) of their optics is increased from the current 0.33 to 0.55. These high-NA tools will allow the shrink prescribed by the Moore's Law to continue well into this decade, by allowing the lithographers to print 8nm half-pitch in a single exposure. In this presentation we will remind briefly on high-NA optics concepts as compared to its 0.33-NA predecessor. We will give insight into how advanced the current production status at ZEISS is: not only into mirror surface polishing, coating, metrology, but also mirror handling and integration as well as shipment. Moreover, besides what happens in high-NA program, you will also see the current status and ongoing improvements to 0.33-NA optics.
Background: A unique extreme ultraviolet (EUV-) scanner with a high numerical aperture (NA) of 0.55 was designed to enable printing of resolution lines with 8 nm half-pitch in a single exposure. The introduction of a central obscuration in the optics design reduces the angular load on the multilayer mirrors, enabling a high transmission and throughput. The central obscuration area has been minimized for best imaging, overlay, and transmission.
Aim: The wavefront is only available in the non-obscured area. This raises the question of how to describe such a wavefront.
Approach: We discuss the choice of fringe-Tatian basis functions to represent the wavefront for an obscured pupil. To make this choice, one needs to balance mathematical correctness while maintaining a simple and intuitive description.
Results: We provide a detailed analysis for selecting basis functions that are adequate to describe measured wavefronts on the non-obscured part of the pupil. This statement is supported by imaging simulations. A fast and stable evaluation of the chosen basis functions is presented. An adapted definition of the wavefront root-mean-square deviation for these functions is proposed; it has the advantage of being simple and independent of the number of basis functions used.
Conclusions: Because of the benefits of the proposed representation, the community is encouraged to use the same formalism.
To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with 0.55NA that enables 8nm HP resolution and a high throughput.
In this paper we will discuss the imaging performance and technology solutions to support our customers device roadmap from High-NA insertion towards low-k1 extension for critical Logic/MPU and DRAM layers. We will address various technology solutions that enable a high contrast through focus for decreasing feature size, such as mask stack optimization, computational litho solutions and advanced illumination shapes.
The high NA=0.55 EUV scanner has an obscuration in the pupil. This has led to the choice to expand the aberration wave-front not in Zernikes anymore, but in other, orthogonal, basis-functions instead. The reasons for this choice and the description of the basis-functions will be discussed.
The continuation of Moore’s law demands the continuous development of EUV lithography. After the NXE:3400B scanner, currently being inserted in high-volume manufacturing (HVM), the next logical step is to increase the numerical aperture (NA) of the EUV projection optics, from 0.33 to 0.55, resulting in a high-NA EUV scanner. Looking back at the history of lithography tools developed in the last decades, we can see that such an increase of NA is, in relative terms, unprecedented (0.55 = 0.33 + 67%). This significant step forward in the NA is a challenge on many fronts and requires many adaptations. In this paper you will find an overview of the key concepts that make high-NA lithography different on imaging end, how the imaging assures the continued life of Moore’s law for the years to come and what are potential mask-related developments that would contribute to high-NA’s success.
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed in a joint collaboration between ASML and Carl Zeiss SMT. The High-NA EUV scanner employs a novel Projection Optics Box (POB) design concept with a numerical aperture of 0.55 that enables 8nm half pitch resolution and a high throughput. The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer (ML) masks at increased NA, however also has implications on the system design and usage of the tool. The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen for minimal impact on imaging performance. Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% pupil fill ratio (PFR). In this paper, we will show that High-NA EUV delivers increased resolution and contrast, thereby supporting EPE requirements of future nodes. We will show that the obscuration can benefit the imaging performance of via- and cutmask-layers by blocking the zeroth order light from the pupil, enhancing image contrast. Further contrast enhancement is possible by introducing alternative absorber stacks.
With the introduction of the NXE:3400B EUV scanner, ASML brings to the market the next generation NXE system. In this paper we present the results of a subset of a larger investigation that aimed at assessing the imaging performance of the NXE:3400B in various scenarios. The use cases we chose for the presentation here are contact holes, which are typical building blocks for logic and memory applications. In this paper we evaluate typical lithographic metrics. Starting from the exposure latitude, we show that contact holes of already 17nm half-pitch can be printed. Next, we show that the full wafer CD uniformity improvement is mainly driven by a high reticle CD uniformity. After that, we explore the capabilities of the new NXE:3400B illuminator and investigate an improved illumination setting for relaxed staggered contact holes of half pitch >21nm, and show a 20% local CD uniformity improvement (from 4.6 to 3.6nm) for regular contact holes of 18nm half-pitch, without throughput loss.
We present an overview of our research on the relation between line edge roughness (LER) and optical critical dimension metrology (OCD). Referring to a known fact that LER does have an impact on OCD, we discuss a novel approach that allows for its better understanding. Namely, we show that, in the presence of LER, one can observe a characteristic scatterometry-measured CD offset, which we call effective–CD. The fact that the effective–CD is characteristic renders it to be a good means of accessing the information about LER present on the CD. To assure the completeness of this overview, we begin by reviewing some previously published results, which have drawn our attention and first led us to observing the characteristic influence of LER on a CD measurement. Next, we extend our model-based simulations to confirm the presence of the effective–CD for complex-roughness models, and finally, we demonstrate an experimental verification of our effective–CD hypothesis. We are convinced that our approach will help to better understand the impact of LER on a CD measurement and will be considered a useful contribution to the development of measurement methods for challenging scenarios, in which realistic CD is affected by the presence of LER.
With the introduction of its fifth-generation EUV scanner, the NXE:3400B, ASML has brought EUV to High-Volume Manufacturing for sub-10nm node lithography. This paper presents lithographic performance results obtained with the NXE:3400B, characterized by an NA of 0.33, a Pupil Fill Ratio (PFR) of 0.2 and throughput capability of 125 wafers per hour (or wph).
Advances in source power have enabled a further increase of tool productivity requiring an associated increase of stage scan speeds. To maximize the number of yielding die per day a stringent Overlay, Focus, and Critical Dimension (CD) control is required. Tight CD control at improved resolution is obtained through a number of innovations: the NXE:3400B features lower aberration levels and a revolutionary new illumination system, offering improved pupil-fill ratio and larger sigma range. Overlay and Focus are further improved by implementation of a new wafer clamp and improved scanner controls.
The NXE:3400B also offers full support for reticle pellicles.
Various reports state that LER/LWR has a significant impact on lithography-fabricated ICs, rendering it desirable
to be able to determine the LER in-line so that it never exceeds certain specified limits.
In our simulation work we deal with the challenge of measuring LER on CD-50nm resist gratings using plane-
mount scatterometry. We show that there is a difference between LER and no-LER scatter signatures which first:
depends on the polarization and second: is proportional to the amount of LER. Moreover - we show that the
said difference can be best-fit to the difference between scatter signatures of two rigorously determined, specific
no-LER CDs, which allows us to predict the sensitivity of ITRS' "manufacturable solution" for scatterometric
LER measurement just by using computationally cheap 1D RCWA simulations. As it can be shown the sensitivity
is not uniform for all realizations of the same CD (e.g. for different resist heights), so not in all gratings LER is
equally easy to determine.
Scatterometry is a method commonly used in semiconductor metrology for measuring critical dimension (CD). It
relies on measurement of light diffracted on a periodic structure and using it to derive the actual profile by running
complex simulations. As CD is getting smaller with next lithography nodes, the Line-Edge Roughness/Line
Width Roughness (LER/LWR) are becoming significant fraction of its overall size - therefore there is a need
to include them in the simulations.
Simulation of the LER/LWR's influence, in its random nature, calls for simulating relatively large fields. These
large fields, if treated with rigorous electromagnetic simulations, are either very time-extensive or impossible to
conduct, therefore computationally bearable, approximate approach needs to be applied.
Our approximate method is "Field-Stitching Method" (FSM). We present its 2D version with varying parameter
called "overlap region". We simulate the line grating structure with CD disturbed by LER/LWR and apply
Rigorous Coupled Wave Analysis (RCWA) supported by the 2D FSM. We also generate the results obtained
exclusively by RCWA, to which we compare the results of the approximate approach. Based on the comparison
we discuss the benefits FSM brings and its limitations.
Constant development of microelements' technology requires a creation of new instruments to determine their basic
physical parameters in 3D. The most efficient non-destructive method providing 3D information is tomography.
In this paper we present Digital Holographic Tomography (DHT), in which input data is provided by means of Di-git-
al Holography (DH). The main advantage of DH is the capability to capture several projections with a single hologram
[1]. However, these projections have uneven angular distribution and their number is significantly limited.
Therefore - Algebraic Reconstruction Technique (ART), where a few phase projections may be sufficient for proper
3D phase reconstruction, is implemented. The error analysis of the method and its additional limitations due to
shape and dimensions of investigated object are presented. Finally, the results of ART application to DHT method
are also presented on data reconstructed from numerically generated hologram of a multimode fibre.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.