Directed Self-Assembly (DSA) is being extensively evaluated for application in semiconductor process integration.1-7 Since 2011, the number of publications on DSA at SPIE has exploded from roughly 26 to well over 80, indicating the groundswell of interest in the technology. Driving this interest are a number of attractive aspects of DSA including the ability to form both line/space and hole patterns at dimensions below 15 nm, the ability to achieve pitch multiplication to extend optical lithography, and the relatively low cost of the processes when compared with EUV or multiple patterning options.
Tokyo Electron Limited has focused its efforts in scaling many laboratory demonstrations to 300 mm wafers. Additionally, we have recognized that the use of DSA requires specific design considerations to create robust layouts. To this end, we have discussed the development of a DSA ecosystem that will make DSA a viable technology for our industry, and we have partnered with numerous companies to aid in the development of the ecosystem. This presentation will focus on our continuing role in developing the equipment required for DSA implementation specifically discussing defectivity reduction on flows for making line-space and hole patterns, etch transfer of DSA patterns into substrates of interest, and integration of DSA processes into larger patterning schemes.
This paper extends the state of the art by describing the practical material’s challenges, as well as approaches to minimize their impact in the manufacture of contact/via layers using a grapho-epitaxy directed self assembly (DSA) process. Three full designs have been analyzed from the point of view of layout constructs. A construct is an atomic and repetitive section of the layout which can be analyzed in isolation. Results indicate that DSA’s main benefit is its ability to be resilient to the shape of the guiding pattern across process window. The results suggest that directed self assembly can still be guaranteed even with high distortion of the guiding patterns when the guiding patterns have been designed properly for the target process. Focusing on a 14nm process based on 193i lithography, we present evidence of the need of DSA compliance methods and mask synthesis tools which consider pattern dependencies of adjacent structures a few microns away. Finally, an outlook as to the guidelines and challenges to DSA copolymer mixtures and process are discussed highlighting the benefits of mixtures of homo polymer and diblock copolymer to reduce the number of defects of arbitrarily placed hole configurations.
Directed Self-Assembly (DSA) is one of the most promising technologies for scaling feature sizes to 16 nm and below.
Both line/space and hole patterns can be created with various block copolymer morphologies, and these materials allow
for molecular-level control of the feature shapes—exactly the characteristics that are required for creating high fidelity
lithographic patterns. Over the past five years, the industry has been addressing the technical challenges of maturing this
technology by addressing concerns such as pattern defectivity, materials specifications, design layout, and tool
requirements. Though the learning curve has been steep, DSA has made significant progress toward implementation
in high-volume manufacturing.
Tokyo Electron has been focused on the best methods of achieving high-fidelity patterns using DSA processing. Unlike
other technologies where optics and photons drive the formation of patterns, DSA relies on surface interactions and
polymer thermodynamics to determine the final pattern shapes. These phenomena, in turn, are controlled by the
processing that occurs on clean-tracks, etchers, and cleaning systems, and so a host of new technology has been
developed to facilitate DSA. In this paper we will discuss the processes and hardware that are emerging as critical
enablers for DSA implementation, and we will also demonstrate the kinds of high fidelity patterns typical of mainstream
DSA integrations.
This paper discusses the defect density detection and analysis methodology using advanced optical wafer inspection capability to enable accelerated development of a DSA process/process tools and the required inspection capability to monitor such a process. The defectivity inspection methodologies are optimized for grapho epitaxy directed self-assembly (DSA) contact holes with 25 nm sizes. A defect test reticle with programmed defects on guide patterns is designed for improved optimization of defectivity monitoring. Using this reticle, resist guide holes with a variety of sizes and shapes are patterned using an ArF immersion scanner. The negative tone development (NTD) type thermally stable resist guide is used for DSA of a polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymer (BCP). Using a variety of defects intentionally made by changing guide pattern sizes, the detection rates of each specific defectivity type has been analyzed. It is found in this work that to maximize sensitivity, a two pass scan with bright field (BF) and dark field (DF) modes provides the best overall defect type coverage and sensitivity. The performance of the two pass scan with BF and DF modes is also revealed by defect analysis for baseline defectivity on a wafer processed with nominal process conditions.
Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards LWR and pattern collapse improvement [1-10]. TEL has developed a DSA development ecosystem (collaboration with customers, consortia, inspection vendors and material suppliers) to successfully demonstrate directed PS-PMMA DSA patterns using chemo-epitaxy (lift-off and etch guide) and grapho-epitaxy integrations on 300 mm wafers. New processes are being developed to simplify process integration, to reduce defects and to address design integration challenges with the long term goal of robust manufacturability. For hole DSA applications, a wet development process has been developed that enables traditional post-develop metrology through the high selectivity removal of PMMA cylindrical cores. For line/ space DSA applications, new track, cleans and etch processes have been developed to improve manufacturability. In collaboration with universities and consortia, fundamental process studies and simulations are used to drive process improvement and defect investigation. To extend DSA resolution beyond a PS-PMMA system, high chi materials and processes are also explored. In this paper, TEL’s latest process solutions for both hole and line/space DSA process integrations are presented.
Directed Self-Assembly (DSA), as an extension of current state-of-the-art photolithography, has demonstrated the
capability for patterning with resolution and cost effectiveness beyond the capability of other techniques. Previous
studies of DSA have reported encouraging benchmarks in defect density and throughput capability for the patterning
step, and such results provide a foundation for our ongoing efforts to integrate the DSA patterning step into a robust
process for fabricating device layers. Here we provide a status report on the integration of two chemoepitaxy DSA
patterning methods for the fabrication of 28nm pitch Si fin arrays. In addition to the requirements for a robust pattern
transfer process, it is also important to understand the pattern design limitations that are associated with DSA. We
discuss some of the challenges and opportunities associated with developing efficient device designs that take advantage of the capabilities of DSA.
Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown
the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards line edge
roughness (LER) and pattern collapse improvement [1-4]. The current challenges for industry adoption are materials
maturity, practical process integration, hardware capability, defect reduction and design integration. Tokyo Electron
(TEL) has created close collaborations with customers, consortia and material suppliers to address these challenges with
the long term goal of robust manufacturability.
This paper provides a wide range of DSA demonstrations to accommodate different device applications. In
collaboration with IMEC, directed line/space patterns at 12.5 and 14 nm HP are demonstrated with PS-b-PMMA
(poly(styrene-b-methylmethacrylate)) using both chemo and grapho-epitaxy process flows. Pre-pattern exposure
latitudes of >25% (max) have been demonstrated with 4X directed self-assembly on 300 mm wafers for both the lift off
and etch guide chemo-epitaxy process flows. Within TEL's Technology Development Center (TDC), directed selfassembly
processes have been applied to holes for both CD shrink and variation reduction. Using a PS-b-PMMA hole
shrink process, negative tone developed pre-pattern holes are reduced to below 30 nm with critical dimension uniformity
(CDU) of 0.9 nm (3s) and contact edge roughness (CER) of 0.8 nm. To generate higher resolution beyond a PS-b-PMMA system, a high chi material is used to demonstrate 9 nm HP line/ space post-etch patterns. In this paper, TEL presents process solutions for both line/space and hole DSA process integrations.
Directed Self-Assembly (DSA) is gaining momentum as a means for extending optical lithography past its current limits.
There are many forms of the technology, and it can be used for creating both line/space and hole patterns.1-3 As with any
new technology, adoption of DSA faces several key challenges. These include creation of a new materials infrastructure,
fabrication of new processing hardware, and the development of implementable integrations. Above all else,
determining the lowest possible defect density remains the industry's most critical concern. Over the past year, our
team, working at IMEC, has explored various integrations for making 12-14nm half-pitch line/space arrays. Both
grapho- and chemo-epitaxy implementations have been investigated in order to discern which offers the best path to high
volume manufacturing. This paper will discuss the manufacturing readiness of the various implementations by
comparing the process margin for different DSA processing steps and defect density for the entirety of the flow. As part
of this work, we will describe our method for using programmed defectivity on reticle to elucidate the mechanisms that
drive self-assembly defectivity on wafer.
The goal of this work is to use a combination of experiment and calibrated resist models to understand the impact of photo-acid generator (PAG) and sensitizer loading on the performance of a polymer bound PAG resist based processes for extreme ultraviolet (EUV) lithography. This paper describes construction of a chemically amplified resist model across 248 nm, 193 nm, and EUV imaging wavelengths. Using resist absorbance input as obtained from experiment and modeling, only the acid formation kinetics are allowed to vary across imaging wavelengths. This constraining system affords very good fitting results, which provides high confidence that the extracted parameters from the model have actual physical significance. The quantum efficiency for acid formation in EUV is found to be ∼8× higher than at 248 or 193 nm, due to the excitation mechanism by secondary electrons. Most notably for the polymer bound PAG system under study the model provides an extremely low acid diffusion length (∼8 nm), suggesting an excellent inherent resolution for this material. Next, resist models are created for a series of sensitizer containing polymer bound PAG formulations, where the sensitizer loading is systematically varied. Compared to the reference polymer bound PAG resist without sensitizer the efficiency of acid formation is significantly increased, without a negative impact on either resolution or linewidth roughness. For these materials the quantum efficiency of acid formation in EUV is found to be ∼12× higher than at 248 nm. In these formulations the impact of sensitizer loading on the sizing dose is found to be rather moderate. This may suggest that even at the lowest sensitizer loading studied the energy of the secondary electrons is already efficiently transferred to the PAGs.
The development of double patterning processes/schemes are widely in progress for 2x nm node and beyond by using
193nm immersion lithography. It is realized that a resist shrink step is necessary in many double patterning process cases
due to the resolution limit of the 193nm immersion exposure tool.
As the development work progresses into the mass-product transition phase, the requirement for technical performances
has become more difficult to be achieved by existing resist shrink technologies.
In order to overcome these difficulties, we have developed "wet slimming" process based on our coater/developer
technologies including the platform. The process is optimized for CD uniformity and defectivity. The process also has
good robustness to the various possible resist materials and/or exposure conditions used by industry.
In this paper, we introduce the scheme of wet slimming process together with basic performance data such as CD
controllability, CD uniformity, defectivity and I-D bias. The evaluation data on actual double patterning processed
wafers is reported as well.
This paper describes construction of a chemically amplified resist model across 248nm, 193nm and EUV imaging
wavelengths. Using resist absorbance input as obtained from experiment and modeling, only the acid formation kinetics
are allowed to vary across imaging wavelengths. This very constraining system affords very good fitting results, which
provides high confidence that the extracted parameters from the model have actual physical significance. The quantum
efficiency for acid formation in EUV is found to be ~8X higher than at 248 or 193nm, due to the excitation mechanism
by secondary electrons. Most notably for the polymer bound PAG system under study the model provides an extremely
low acid diffusion length (~7nm), suggesting an excellent inherent resolution for this material.
Next, resist models are created for a series of sensitizer containing polymer bound PAG formulations, where the
sensitizer loading is systematically varied. Compared to the reference polymer bound PAG resist without sensitizer the
efficiency of acid formation is significantly increased, without a negative impact on either resolution or line width
roughness. For the materials the quantum efficiency of acid formation in EUV is found to be ~12X higher than at 248nm.
In these formulations the impact of sensitizer loading on the sizing dose is found to be rather moderate. This may suggest
that even at the lowest sensitizer loading studied the energy of the secondary electrons is already efficiently transferred to the PAGs.
High NA immersion and EUV lithography processes are challenged to meet stringent control requirements for the 22 nm
node and beyond. Lithography processes must balance resolution, LWR and sensitivity (RLS) performance tradeoffs
while scaling resist thickness to 100 nm and below. Hardware modules including coat, bake and development seek to
enable resist processes to balance RLS limitations. The focus of this paper is to study the fundamentals of the RLS
performance tradeoffs through a combination of calibrated resist simulations and experiments.
This work seeks to extend the RLS learning through the creation of calibrated resist models that capture the exposure
kinetics, acid diffusion properties, deprotection kinetics and dissolution response as a function of PAG loading in a 193
nm polymer system. The calibrated resist models are used to quantify the resolution and sensitivity performance
tradeoffs as well as the degradation of resist contrast relative to image contrast at small dimensions.
Calibrated resist simulations are capable of quantifying resolution and sensitivity tradeoffs, but lack the ability to model
LWR. LWR is challenging to simulate (lattice models) and to measure; due to the dependence on spectral frequency.
This paper seeks to use micro-bridging experiments as means to better understand the statistical nature of LWR. Microbridging
analysis produces a statistical distribution of "discrete bridging events" that encompasses practical variations
across scanner, track and resist. Micro-bridging and LWR experiments are done using a 1.2 NA immersion system on 45
nm space structures (90 nm pitch) as a means to demonstrate the concept, but the methodology can also be used to study
EUVL processes as the technology matures. The understanding of the RLS performance tradeoffs enables TEL to
develop future hardware and processes that support industry scaling goals.
The fundamental understanding of photo acid generator (PAG) leaching and water uptake is important for the design of
robust immersion imaging processes; including resist, scanner and track hardware design. Experimental studies show
that PAG leaching occurs over a very short time scale (< 10 seconds). Time-of-flight secondary ion mass spectrometry
(TOF-SIMS) analysis also reveals that PAG leaching occurs at the top surface of a resist film. The time scale and depth
of PAG leaching is important to understanding the fundamental impact of immersion process steps on imaging
performance.
Finite element modeling is used to study the diffusion of water into a resist and the diffusion of PAG out of a resist into
flowing water. Experimental mass uptake of water in a 150 nm resist film was collected experimentally using a quartz
crystal microbalance (QCM). The diffusion coefficient of water in the thin resist is calculated to be 1.5e-11 cm2/s. PAG
leaching data was collected from an experimental apparatus that can flow water over a resist coated 200 mm wafer
(dynamic WEXA2). The PAG diffusion model shows that the diffusion coefficient transitions from 1.4 e-14 cm2/s in the
surface of the film to 1.0 e-16 cm2/s in the bulk of the film. The finite element simulations show an excellent physical
correlation to the experimental PAG leaching data.
The extraction of resist component diffusion coefficients enables the modeling of component depth profiles in thin resist
materials. The component depth profile information is then used to model the impact of resist design and immersion
resist processing on 32 nm node imaging performance.
As the industry extends immersion lithography to the 32 nm node, the limits of image and resist contrast will be
challenged. Image contrast is limited by the inherent numerical aperture of a water based immersion lithography system.
Elements of resist design and processing can further degrade the final deprotected image contrast1,2. Studies have been
done to understand the effects of image contrast on line width roughness (LER) for dry 193 nm lithography3. This paper
focuses on the impacts of image and resist contrast on the formation of defects and LER in an immersion lithography
process.
Optical and resist simulations are combined with experiments to better understand the relationship between image
quality, resist design, scanner/track processing and defect formation. The goal of this work is to develop a relationship
between resist contrast metrics and defect formation for immersion processes.
In this paper we present a method that optimizes the OPC model generation process. The elements in this optimized flow include: an automated test structure layout engine; automated SEM recipe creation and data collection; and OPC model anchoring/validation software. The flow is streamlined by standardizing and automating these steps and their inputs and outputs. A major benefit of this methodology is the ability to perform multiple OPC "screening" refinement loops in a short time before embarking on final model generation. Each step of the flow is discussed in detail, as well as our multi-pass experimental design for converging on a final OPC data set. Implementation of this streamlined process flow drastically reduces the time to complete OPC modeling, and allows generation of multiple complex OPC models in a short time, resulting in faster release and transfer of a next-generation product to manufacturing.
KEYWORDS: Capacitors, Etching, Scanning electron microscopy, Atomic force microscopy, Scatterometry, Composites, Metrology, 3D modeling, Semiconducting wafers, 3D metrology
The integration of embedded ferroelectric random access memory (FRAM) into a standard CMOS flow requires significant control and characterization of the patterned capacitor sidewall angle. The electrical functionality of the FRAM capacitor is highly dependent on the post-etch sidewall characteristics of the TiAlN hardmask and Ir/PZT/Ir capacitor film stack. In this study, we explored various options for determining the sidewall profile of these capacitors including scanning electron microscope (SEM), atomic force microscopy (AFM) and scatterometry. A series of capacitor samples with ranges of sidewall slopes from 60 degrees to 80 degrees was generated to test each measuring technique's robustness. All of the techniques demonstrated relatively accurate sidewall angle measurements of the high-angle capacitor profiles relative to cross-section SEMs. However, the CD SEM had difficulty identifying the top edge of the low-angle capacitor samples due to the large amount of profile roughness, which induced a large measurement error range. Additional optimization is required to improve the CD SEM's precision, before it would be a viable in-line monitor for the FRAM process. The AFM provided good accuracy and precision on the high-angle capacitor profiles, but the tip size limited the measurements to spaces larger than 120 nm. Furthermore, the AFM had a long move-acquire-measure (MAM) time of 5 minutes/site, which limited its throughput as an inline monitor. The scatterometer predicted bottom-stack sidewall angle measurements (2 trapezoid model) that were consistent with the cross-section SEMs, and it produced the lowest across wafer sidewall angle range. It also had the fastest MAM time of 5 seconds/site compared to the other techniques. However, it was difficult to generate an accurate scatterometry model due to the complex optical film stack that incorporated low surface reflectivity and higher surface roughness. While each technique had limitations, scatterometry appeared to be the most capable of inline sidewall angle monitoring.
Mask critical dimension (CD) control relies on advanced write tools and resist processes. However, a specified write tool and process does not necessarily guarantee high mask quality. As the mask feature size shrinks to below 500 nm, there are other mask-related factors that can also significantly affect the mask performance. This paper discusses the impact of those non-trivial factors, such as mask writing tool and process control, calibration of mask CD metrology, blank quality of attenuated phase shift mask (ATPSM), pellicle degradation due to 193 nm laser irradiation, and profile of mask features, etc.
As our chip producing industry gearing up for mass production of 130nm device technology node, use of EAPSM (Embedded Attenuated Phase Shift Mask) technology in the critical pattern levels became unavoidable because of the low k1 factor lithography involved. However, this 2-layer EAPSM material (attenuator material covered with Chrome) requires two distinctively separate lithography/etch processes needed to be carried out. These added complexities of processes are prone to degradation of the absorber material's (MoSi) sidewall leading to imperfect sidewall profiles (top corner rounding, off-normal sidewall angle, etching intrusion into quartz substrate, footing, . . . etc.). These imperfections of sidewall cause aerial image degradations thus reduce effectiveness of full benefits of PSM technology. In this paper, we discuss our findings of mask level aerial image degradation dependency on EAPSM material sidewall imperfections, which result from immature mask making processes, and assessments of its effects on pattern transfer onto wafer level using 3&2D EMF and subsequent lithography simulations. The results were then, compared to actual wafer results for the wafer level printing confirmation to the simulation results. We distinguish consequence of resulting aerial image differences between EMF simulations vs. Kirchhoff approximation (treatment of absorber to be infinitely thin layer; normally used in conventional lithography simulations) in the KrF EAPSM material (MoSi). Furthermore, we have carried out look-ahead assessments for ArF (193nm) lithography using ArF EAPSM material (MoSiON) and made association between the sidewall profile variations and CD uniformity performance of EAPSM. We will make case that 3D EMF capability consideration is important in the low k1 factor lithography simulations.
Photoactive compounds have been designed, synthesized and characterized for deep ultraviolet non-chemically amplified resist applications. These resist materials may have potential use in next generation 257nm mask fabrication. Mask fabrication requires stringent linewidth specifications over long post-coat and post-exposure bake delays. Lithography simulation and imaging experiments have been done to determine the lithographic performance of resists formulated with these new photoactive compounds. Previously studied chromophores, 7 substituted 3-diazo 4- hydroxycoumarin and N-substituted 3-diazo-2, 4-piperidione, both have the transparency, bleaching and exposure rate kinetics in the DUV that are analogous to those exhibited by the diazonaphthoquinone chromophore at 365nm. The sulfonate linkages attached to these photoactive compounds provide dissolution rate inhibition of novolak that is very similar to the diazonaphthoquinone-sulfonates. The trifunctional diazopiperidione that incorporates three sulfonate linkages provides more efficient inhibition per chromophore than the corresponding bisfunctional photoactive compound. The diazocoumarin based novolak resist demonstrates image reversal (negative tone) with the use of a post-exposure bake. The post-exposure bake causes the exposed photoactive compound to decarboxylate, which dramatically reduces its solubility in aqueous base. The trifunctional diazopiperidione provides the best overall imaging results due to almost complete bleaching and high contrast.
Consumer demand for faster computers, increased data storage space, and higher density memory arrays has driven development efforts in photomask production the way of the wafer fab. This drive has pushed mask fabrication toward smaller and more uniform features. It has thus inspired the creation of a deep ultraviolet, or DUV, (257 nm) optical pattern generator and an attendant resist process for mask fabrication. A DUV photoresist process based on precoated photomask blanks is presented herein with a demonstrably robust resist, both in terms of plate shelf life and latent image stability. Benchmark lithographic performance in resolution, depth of focus (DOF), linearity, and iso/dense bias are presented. In addition, the ambient atmospheric stability of the resist system is explored. Traditional maskmaking has, until now, excluded a post-exposure bake (PEB) step. Equipment requirements and resist critical dimension (CD) performance as a function of PEB are also presented. Photoresist process parameter space is defined and discussed herein. Precoated mask blank post-apply bake (PAB) stability is also examined as it relates to after-develop inspection (ADI) CDs. Dark erosion tests are employed to study photoresist contrast uniformity as it relates to ADI CDs. First-pass specifications for incoming raw materials are also proposed.
Chemically amplified resists are sought that have optimum performance at 257 nm while providing the post-coat and post-exposure stability required for mask fabrication. An acetal based resist, AZ DX1100 (Clariant), was explored due to its post-coat and post exposure delay stability. A photodestructable base is present in the resist to capture acid that transported into unexposed regions and to minimize the effects of environmental base contamination. Lithography simulation was used to simulate the acetal resist process at 257 nm. These simulations demonstrated some of the effects of standing waves and resist absorption. Reflectivity simulations were conducted to evaluate the influence of the photomask substrate on standing waves. Bake optimization experiments (PAB/ PEB) were performed to establish conditions that minimize standing wave effects while maximizing the resist sidewall angle. Acetal resists are low activation energy systems that deprotect at room temperature. The time scale and extent of the deprotection reaction at room temperature was quantified over the time frame (hours) required for typical mask writing strategies. The deprotection reaction was measured indirectly by monitoring the resist thickness change using a development rate monitor (DRM). This analysis provides insight into the amount of deprotection that occurs at room temperature and during the post-exposure bake.
The demand for smaller and more uniform features on photomasks has inspired consideration of a DUV (257 nm) resist process for optical pattern generation. Chemically amplified resists require storage and exposure in carbon filtered environments, and they require post-exposure bakes. Few mask facilities are set up to handle chemically amplified resists commonly used in deep UV wafer fabrication process. Hence, it is appropriate to explore the lithographic performance of non-chemically amplified resist materials for 257 nm laser photomask lithography. Resist characterization and lithography simulation were used to formulate a 257 nm resist from DNQ/novolak materials provided by a commercial resist supplier. Diazonaphthoquinone (DNQ)/novolak resists have not been used for DUV Integrated Circuit (IC) applications mainly due to the low sensitivity and the strong absorbance of the DNQ photoactive compound (PAC) at 248 nm. However, a 2,1,4 DNQ based resist has been characterized that bleaches at 257 nm and inhibits novolak. The photoproduct of the 2,1,4 DNQ PAC is much more transparent at 257 nm than 248 nm. Novolak resin also has an absorbance minimum in the DUV at 257 nm that provides transparency similar to poly (hydroxystyrene). Traditional photoresist formulation requires tedious, iterative, and expensive manufacturing trials. Resist characterization and lithography simulation can be used to relate lithographic performance (resolution, sidewall and process latitude) to resist formulation parameters (PAC concentration, developer concentration, etc.), thereby supporting the formulation optimization. An exposure system using a 257 nm frequency doubled argon laser system has been constructed to study the resist photokinetics. Dill exposure parameters (A, B and C) have been extracted for a 2,1,4 DNQ/novolak based resist. Dissolution rate measurements have been made with a development rate monitor developed at the University of Texas at Austin. Simulation using the exposure and development rate models were used to determine the resist formulation that maximizes the sidewall angle and exposure latitude for isolated resist spaces. Preliminary experiments reveal that a DNQ/novolak resist is capable of resolving 0.30 micrometer linewidths using a 257 nm optical pattern generator.
KEYWORDS: Picture Archiving and Communication System, Photomasks, Absorption, Lithography, Absorbance, Transparency, Deep ultraviolet, Photoresist processing, Photoresist developing, Mask making
I-line optical pattern generators using non-chemically amplified resists have become the workhorses for high throughput mask fabrication. The demand for smaller and more uniform features on photomasks has driven the development of a 257 nm optical pattern generator. A non-chemically amplified resist is being developed to maximize the performance of this new 257 nm mask tool. Resist characterization and lithography simulation are being used to formulate a non-chemically amplified resist for 257 nm optical pattern generators. Non- chemically amplified resists are advantageous for us in mask fabrication due to their storage and post-exposure stability. Chemically amplified resists may provide higher performance but they also require environmental mini-environments and a post-exposure bake equipment not commonly present in mask houses. Diazonaphthoquinone (DNQ)/novolak resists have not been used for DUV Integrated Circuit (IC) applications mainly due to the low sensitivity and the strong absorbance of the DNQ photoactive compound (PAC) at 248 nm. However, a 2,1,4 DNQ based resist has been characterized that bleaches at 257 nm and inhibits novolak. The photoproduct of the 2,1,4 DNQ PAC is much more transparent at 257 nm than 248 nm. Novolak resin is too strongly absorbing for use in formulating efficient 248 nm resists, but novolak has an absorbance minimum at 257 nm that provides transparency similar to poly (hydroxystyrene). Lithography simulation is being used to develop a non- chemically amplified resist to minimize the expensive iteration of manufacturing trials. An exposure system using a 257 nm frequency double Ar laser system has been constructed to study the resist photokinetics. Dill exposure parameters (A, B and C) have been extracted for a 2,1,4 DNQ/novolak based resist. Dissolution rate measurements have been made with a DRM developed at the University of Texas at Austin. Simulation is used to determine the optimal resist absorption, bleaching, dose and dissolution properties to maximize resolution. It is possible to formulate a high performance resist for 257 nm if care is taken in optimization of the formulation.
The inorganic antireflection coating (AR3-chromium oxide) commonly used on photomask blanks was designed to minimize flare in h-line (405 nm) lithography steppers. The reflection of light (flare) off this coating (air-photomask) increases with shorter exposure wavelengths. High levels of flare occur in 248 nm and 193 nm IC steppers due to reflections off of the photomask surface. The reflections (standing waves) in photomask resists also increase during exposure using lower wavelengths of light. Lithography simulations and photomask manufacturing trials have led to resist processes that can generate sub-0.30 micron resist features on photomasks with I- line optical pattern generators (ALTA 3500). Lower developer concentration, higher exposure doses and the minimization of standing waves by incorporating a post-exposure bake and/or organic antireflection coatings (ARC) maximizes resolution. High resolution photoresists show standing waves on photomasks fabricated with optical pattern generators. Low contrast resist processes show only small standing waves or in certain cases resist 'footing.' The use of organic antireflection coatings can minimize standing waves and allow the use of high contrast resist processes. ARCs reduce the swing ratio, which improves linewidth uniformity. ARCs also improve the adhesion of the resist to the photomask surface. Simulations reveal that the optimal ARC coating thickness is around 46 nm for typical I-line systems and around 50 nm for 257 nm non- chemically amplified photomask resists. Preliminary I-line photomask manufacturing trials have been done with bottom antireflection coatings at the DPI Reticle Technology Center. The first process trials reveal that the standing waves in high resolution resists were reduced but not removed. Very precise control of the ARC thickness must be exercised to completely extinguish standing waves and careful film thickness optimization appears to be necessary to minimize these reflections.
The demand for smaller and more uniform features on photomasks is rapidly increasing. The complexity of these patterns is also increasing with the need for optical proximity correction and phase shifting structures. These complex mask features demand unprecedented accuracy in pattern placement and dimensional control. We have conducted research designed to optimize the process for laser pattern generation by improving resolution and process latitude. Lithographic simulation was utilized for process optimization because of the very high cost of mask patterning and metrology experiments.
A new development rate model is proposed which is based on an equation derived by Huang, Reiser and Kwei for the concentration dependence of the dissolution rate of acidic resins in aqueous alkaline developers. This equation predicts cessation of development at a critical concentration c*. Experiments in which the developer strength was varied shows that the critical concentration c* is a linear function of the normalized sensitizer concentration m of positive-tone resists. The model is shown to reproduce the R(m) curves of conventional photoresists well, but it does not fully the unusual drop in the development rate curves at comparatively low relative sensitizer concentrations of m equals 0.4 to 0.7 shown by high- performance resist systems. This physical phenomenon can be related to a selective dissolution effect in which the lower molecular weight component of the two-component resins typically used in these resists is leached out of the surface areas. The onset of this phenomenon leads to a sudden lowering of the resist dissolution rate which is described by the introduction of a `notch function.'
KEYWORDS: Refractive index, Data modeling, Photoresist developing, Photoresist materials, Reflectivity, Software development, Silicon, Lithography, Absorbance, Picture Archiving and Communication System
Optical lithography with special thick film DNQ-novolac photoresists have been practiced for many years to fabricate microstructures that require feature heights ranging from several to hundreds of microns such as thin film magnetic heads. It is common in these thick film photoresist systems to observe interesting non-uniform profiles with narrow regions near the top surface of the film that transition into broader and more concave shapes near the bottom of the resist profile. A number of explanations have been proposed for these various observations including the formation of `dry skins' at the resist surface and the presence of solvent gradients in the film which serve to modify the local development rate of the photoresist. There have been few detailed experimental studies of the development behavior of thick films resists. This has been due to part to the difficulty in studying these films with conventional dissolution rate monitors (DRMs). In general, this lack of experimental data along with other factors has made simulation and modeling of thick film resist performance difficult. As applications such as thin film head manufacturing drive to smaller features with higher aspect ratios, the need for accurate thick film simulation capability continues to grow. A new multi-wavelength DRM tool has been constructed and used in conjunction with a resist bleaching tool and rigorous parameter extraction techniques to establish exposure and development parameters for two thick film resists, AZTM 4330-RS and AZTM 9200. Simulations based on these parameters show good agreement to resist profiles for these two resists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.