A multi-objective optimization flow is developed to identify balanced compact optical proximity correction (OPC) models with ideal calibration accuracy, runtime performance and prediction accuracy. We demonstrate a model selection process based on Pareto front optimization to meet multiple modeling requirements in a single optimization step. A genetic search algorithm determines the final population that offers the best trade-off in set model properties. As a demonstration, we cooptimize calibration accuracy, verification accuracy and term count in a mode developed for hot spot prediction for a line and space memory layer. The optimization determines the minimum number of model terms to meet the off-nominal dose and focus patterning accuracy requirements in verification. Multi-objective optimization provides better verification process window condition (PWC) accuracy because of the multi-objective trade-off built into the genetic algorithm (GA). The optimizer also provides better calibration accuracy (Rms Weighted) than compact models with a fixed configuration because model composition is optimized during GA search. The resulting champion model is 30% more predictive and 5% faster in simulation using this approach. Results for a negative tone develop hole layer with a model complexity of up to 44 terms are also analyzed based on nominal only measurement data. We further show the models selected by multi-objective optimization have a lesser tendency to over-fit the calibration data. The methodology can be applied to streamline complex models for optimum performance and target error rate. In many cases, for smaller data sets, we show that simplified models provide improved verification accuracy within metrology error limits.
The fast rigorous model (FRM) is a first principles solver based on sequential simulations of photochemical reactions in photoresists. We report the evaluation of FRM relative to compact models (CM1) for NTD OPC model accuracy. We demonstrate equivalent or better accuracy to CM1 when FRM is combined with a CM1 model of the same composition. In the case of CTR to FRM comparison, FRM is 34% more accurate in calibration and prediction on average across 20 testcases. FRM is 5% more predictive than the most complex CM1 modelform tested with similar calibration accuracy. FRM supplemented with limited CM1 terms provides better verification accuracy for SRAF printing and hotspot detection. Further, the input data needed to train the FRM model in order to achieve high predictive accuracy is a fraction (1-5%) of that needed by more complex CM1 modelforms. Finally, we show through the Akaike Information Criteria method that FRM is more predictive than an equivalent CM1 model based on the degrees of freedom in the modelform and quantity of data available.
KEYWORDS: Optical proximity correction, Semiconducting wafers, Data modeling, Refractive index, Calibration, Statistical modeling, Photomasks, Process modeling, Lithography, Monte Carlo methods
The use of optical proximity correction (OPC) demands increasingly accurate models of the photolithographic process. Model building and analysis techniques in the data science community have seen great strides in the past two decades which make better use of available information. This paper expands upon Bayesian analysis methods for parameter selection in lithographic models by increasing the parameter set and employing posterior predictive checks. Work continues with a Markov chain Monte Carlo (MCMC) search algorithm to generate posterior distributions of parameters. Models now include wafer film stack refractive indices, n and k, as parameters, recognizing the uncertainties associated with these values. Posterior predictive checks are employed as a method to validate parameter vectors discovered by the analysis, akin to cross validation.
The use of optical proximity correction (OPC) demands increasingly accurate models of the photolithographic process. Model building and inference techniques in the data science community have seen great strides in the past two decades which make better use of available information. This paper aims to demonstrate the predictive power of Bayesian inference as a method for parameter selection in lithographic models by quantifying the uncertainty associated with model inputs and wafer data. Specifically, the method combines the model builder's prior information about each modelling assumption with the maximization of each observation's likelihood as a Student's t-distributed random variable. Through the use of a Markov chain Monte Carlo (MCMC) algorithm, a model's parameter space is explored to find the most credible parameter values. During parameter exploration, the parameters' posterior distributions are generated by applying Bayes' rule, using a likelihood function and the a priori knowledge supplied. The MCMC algorithm used, an affine invariant ensemble sampler (AIES), is implemented by initializing many walkers which semiindependently explore the space. The convergence of these walkers to global maxima of the likelihood volume determine the parameter values' highest density intervals (HDI) to reveal champion models. We show that this method of parameter selection provides insights into the data that traditional methods do not and outline continued experiments to vet the method.
The physical process of mask manufacturing produces absorber geometry with significant deviations from the 90-deg corners, which are typically assumed in the mask design. The non-Manhattan mask geometry is an essential contributor to the aerial image and resulting patterning performance through focus. Current state-of-the-art models for corner rounding employ “chopping” a 90-deg mask corner, replacing the corner with a small 45-deg edge. A methodology is presented to approximate the impact of three-dimensional (3-D) EMF effects introduced by corners with rounded edges. The approach is integrated into a full-chip 3-D mask simulation methodology based on the domain decomposition method with edge to edge crosstalk correction.
The physical process of mask manufacturing produces absorber geometry with significantly less than 90 degree fidelity at corners. The non-Manhattan mask geometry is an essential contributor to the aerial image and resulting patterning performance through focus. Current state of the art models for corner rounding employ “chopping” a 90 degree mask corner, replacing the corner with a small 45 degree edge. In this paper, a methodology is presented to approximate the impact of 3D EMF effects introduced by corners with rounded edges. The approach is integrated into a full chip 3D mask simulation methodology based on the Domain Decomposition Method (DDM) with edge to edge crosstalk correction.
In the field of model design and selection, there is always a risk that a model is over-fit to the data used to train the model. A model is well suited when it describes the physical system and not the stochastic behavior of the particular data collected. K-fold cross validation is a method to check this potential over-fitting to the data by calibrating with k-number of folds in the data, typically between 4 and 10. Model training is a computationally expensive operation, however, and given a wide choice of candidate models, calibrating each one repeatedly becomes prohibitively time consuming. Akaike information criterion (AIC) is an information-theoretic approach to model selection based on the maximized log-likelihood for a given model that only needs a single calibration per model. It is used in this study to demonstrate model ranking and selection among compact resist modelforms that have various numbers and types of terms to describe photoresist behavior. It is shown that there is a good correspondence of AIC to K-fold cross validation in selecting the best modelform, and it is further shown that over-fitting is, in most cases, not indicated. In modelforms with more than 40 fitting parameters, the size of the calibration data set benefits from additional parameters, statistically validating the model complexity.
With the introduction of negative tone develop (NTD) resists to production lithography nodes, multiple NTD resist modeling challenges have surpassed the accuracy limits of the existing modeling infrastructure developed for the positive polarity process. We report the evaluation of two NTD resist modeling algorithms. The new modeling terms represent, from the first principles, the NTD resist mechanisms of horizontal shrink and horizontal development bias. Horizontal shrink describes the impact of the physical process of out-gassing on remaining resist edge location. Horizontal development bias accounts for the differential in the peak and minimum development rate with exposure intensity observed in NTD formulations. We review specific patterning characteristics by feature type, modeling accuracy impact presented by these NTD mechanisms, and their description in our compact models (Compact Model 1, CM1). All the new terms complement the accuracy advantage observed with existing CM1 resist modeling infrastructure. The new terms were tested on various NTD layers. The results demonstrate consistent model accuracy improvement for both calibration and verification. Furthermore, typical NTD model fitting challenges, such as large SRAF-induced wafer CD jump, can be overcome by the new NTD terms. Finally, we propose a joint-tuning approach for the calibration of compact models for the NTD resist.
This study quantifies the impact of systematic mask errors on OPC model accuracy and proposes a methodology to reconcile the largest errors via calibration to the mask error signature in wafer data. First, we examine through simulation, the impact of uncertainties in the representation of photomask properties including CD bias, corner rounding, refractive index, thickness, and sidewall angle. The factors that are most critical to be accurately represented in the model are cataloged. CD bias values are based on state of the art mask manufacturing data while other variable values are speculated, highlighting the need for improved metrology and communication between mask and OPC model experts. It is shown that the wafer simulations are highly dependent upon the 1D/2D representation of the mask, in addition to the mask sidewall for 3D mask models. In addition, this paper demonstrates substantial accuracy improvements in the 3D mask model using physical perturbations of the input mask geometry when using Domain Decomposition Method (DDM) techniques. Results from four test cases demonstrate that small, direct modifications in the input mask stack slope and edge location can result in model calibration and verification accuracy benefit of up to 30%. We highlight the benefits of a more accurate description of the 3D EMF near field with crosstalk in model calibration and impact as a function of mask dimensions. The result is a useful technique to align DDM mask model accuracy with physical mask dimensions and scattering via model calibration.
This paper extends the state of the art by demonstrating performance improvements in the Domain
Decomposition Method (DDM) from a physical perturbation of the input mask geometry. Results from four
testcases demonstrate that small, direct modifications in the input mask stack slope and edge location can result in
model calibration and verification accuracy benefit of up to 30%. All final mask optimization results from this
approach are shown to be valid within measurement accuracy of the dimensions expected from manufacture. We
highlight the benefits of a more accurate description of the 3D EMF near field with crosstalk in model calibration
and impact as a function of mask dimensions. The result is a useful technique to align DDM mask model accuracy
with physical mask dimensions and scattering via model calibration.
This paper extends the state of the art by describing the practical material’s challenges, as well as approaches to minimize their impact in the manufacture of contact/via layers using a grapho-epitaxy directed self assembly (DSA) process. Three full designs have been analyzed from the point of view of layout constructs. A construct is an atomic and repetitive section of the layout which can be analyzed in isolation. Results indicate that DSA’s main benefit is its ability to be resilient to the shape of the guiding pattern across process window. The results suggest that directed self assembly can still be guaranteed even with high distortion of the guiding patterns when the guiding patterns have been designed properly for the target process. Focusing on a 14nm process based on 193i lithography, we present evidence of the need of DSA compliance methods and mask synthesis tools which consider pattern dependencies of adjacent structures a few microns away. Finally, an outlook as to the guidelines and challenges to DSA copolymer mixtures and process are discussed highlighting the benefits of mixtures of homo polymer and diblock copolymer to reduce the number of defects of arbitrarily placed hole configurations.
The Domain Decomposition Method (DDM) for approximating the impact of 3DEMF effects was introduced nearly ten years ago as an approach to deliver good accuracy for rapid simulation of full-chip applications. This approximation, which treats mask edges as independent from one another, provided improved model accuracy over the traditional Kirchhoff thin mask model for the case of alternating aperture phase shift masks which featured severe mask topography. This aggressive PSM technology was not widely deployed in manufacturing, and with the advent of thinner absorbing layers, the impact of mask topography has been relatively well contained through the 32 nm technology node, where Kirchhoff mask models have proved effective. At 20 nm and below, however, the thin mask approximation leads to larger errors, and the DDM model is seen to be effective in providing a more accurate representation of the aerial image. The original DDM model assumes normal incidence, and a subsequent version incorporates signals from oblique angles. As mask dimensions become smaller, the assumption of non-interacting mask edges breaks down, and a further refinement of the model is required to account for edge to edge cross talk. In this study, we evaluate the progression of improvements in modeling mask 3DEMF effects by comparing to rigorous simulation results. It is shown that edge to edge interactions can be accurately accounted for in the modified DDM library. A methodology is presented for the generation of an accurate 3DEMF model library which can be used in full chip OPC correction.
Resists with robust defect margins for bright field patterning are critical to high resolution lithography. In this paper, we present the application of analytical techniques to screen high resolution photoresists with reduced tendency to form side-lobe defects from diffraction in ePSM and chromeless APSM lithography. Resist candidates are compared based on a novel method to determine accurate high-contrast development etch rate curve data from diluted normality analysis combined with attenuated FTIR. The measured data is applied to determine parameters for aerial image and molecular level resist models which screen potential resists for performance in side-lobe suppression within random mask layout. Feature level prediction and experimental validation is discussed as well as general selection criteria for high resolution, low-defect liability resist materials for severe bright field ePSM and APSM lithography.
More demanding requirements are being made of photoresist materials for fabrication of nanostructures as the feature critical dimensions (CD) decrease. For extreme ultraviolet (EUV) resists, control of line width roughness (LWR) and high resist sensitivity are key requirements for their success. The observed LWR and CD values result from many factors in interdependent processing steps. One of these factors is the deprotection interface formed during the post-exposure bake (PEB) step. We use model EUV photoresist polymers to systematically address the influence of exposure-dose on the spatial evolution of the deprotection reaction at a model line edge for fixed PEB time using neutron reflectivity. The bilayer consists of an acid feeder layer containing photoacid generator (PAG) and a model photoresist polymer, poly(hydroxystyrene-co-tert-butylacrylate) with perdeuterated t-butyl protecting group. The deuterium labeling allows the protection profile to be measured with nanometer resolution. The evolution of two length scales that contribute to the compositional profile is discussed.
Model based OPC is critical for mask design employing current design rules. Models based on the aerial image assume resist response will generally follow behavior predicted by diffractive optics, however, some classes of resist introduce non-optical resist response. It is critical to understand the proximity behavior of these resists in order to accurately manufacture lithographic masks. In this paper, we present modeling and experimental results for a class of resist systems exhibiting a strong non-optical resist response; reversed bias in nested versus isolated space pattern dimension. The behavior is ascribed to a secondary source of proximity originating from heat absorbed during PEB within the exposed region, which produces a non-uniform, pattern dependent, effective PEB temperature. A continuum PEB model employing a combined mass and energy balance is developed as well as experimental methods to determine the parameters in the model. The resulting calibrated model reproduces the degree of proximity bias measured with SEM for a variety of process conditions. Both proximity correction and characterization of k1 performance for the resist system are discussed.
Top surface imaging (TSI) systems based on vapor phase silylation have been investigated for use at a variety of wavelengths. This approach to generating high aspect ratio, high resolution images held great promise particularly for 193 nm and EUV lithography applications. Several 193 nm TSI systems have been described that produce very high resolution (low k factor) images with wide process latitude. However, because of the line edge roughness associated with the final images, TSI systems have fallen from favor. In fact, top surface imaging and line edge roughness have become synonymous in the minds of most. Most of the 193 nm TSI systems are based on poly(p-hydroxystyrene) resins. These polymers have an unfortunate combination of properties that limit their utility in this application. These limiting properties include (1) High optical density (2) Poor silylation contrast (3) Low glass transition temperature of the silylated material. These shortcomings are related to inherent polymer characteristics and are responsible for the pronounced line edge roughness in the poly(p-hydroxystyrene) systems. We have synthesized certain alicyclic polymers that have higher transparency and higher glass transition temperatures. Using these polymers, we have demonstrated the ability to print high resolution features with very smooth sidewalls. This paper will describe the synthesis and characterization of the polymers and their application to top surface imaging at 193 nm. Additionally, it will describe the analysis that was used to tailor the processing and the polymer's physical properties to achieve optimum imaging.
We report the results of an in situ study of reaction and the glass transition in exposed films of positive chemically amplified resists. Local thermal analysis was performed on exposed patterns in thin films of APEX-E. We measure the activation energy of reaction int he exposed resist to be 132 +/- 5 kJ/mol. Post-exposure delay effects were shown to reduce the reaction rate in the pattern by as much as 14 percent. Finally, we used local thermal analysis to determine the effect of reaction on the glass transition temperature in exposed APEX-E. We find that the glass transition temperature increased linearly by as much as 20 degrees C after complete de-protection matrix. We present a detailed examination of the use of differential thermal analysis to study reaction and the glass transition with a local thermal probe.
This paper reports the development of local differential thermal analysis and ellipsometry to measure the glass transition temperature (Tg) of thin films of photoresist. We apply the techniques to measure the glass transition temperature as a function of the film thickness of polystyrene and poly(methyl methacrylate) (PMMA). We also study the effect of the duration of post apply bake and the extent of reaction on the Tg of films of a negative photoresist, SAL605. Measurements of the Tg as a function of film thickness of polystyrene cast on native silicon oxide substrates primed with hexamethyldisiloxane show that the Tg is depressed incrementally as thickness decreases to a maximum of Tg - 20 degree(s)C for film thicknesses below 80 nm. Films of PMMA cast on native silicon oxide show a similar depression in the Tg of 10 degree(s)C for film thicknesses less than 70 nm. Our study of SAL605 photoresist finds that the Tg is not influenced by the condensation reaction between the crosslinker and resin. We find that there is a strong plasticizing effect by the residual solvent in SAL605 over short PAB times that can change the Tg by as much as 15 degree(s)C. The Tg reaches a steady value after 30 seconds of baking at 90 degree(s)C.
Monte-Carlo simulation methods are used to investigate the glass transition temperature measurements from a scanning thermal microscopy study of thin photoresist films. We find that, consistent with our own experimental observations, film thickness has a profound effect on the glass transition temperature. Depending on whether the film is confined or not, we observe an increase or a decrease of the glass transition temperature. These findings are explained in terms of structural changes occurring at the molecular level.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.