Point-of-care tests (POCT) are important for detecting illnesses and monitoring patients without the need of a medical laboratory. To be useful, POCT must be sensitive, specific, integrated, and affordable. Since the early 2000s, integrated photonics have offered a possible solution for this problem. In particular, silicon micro-ring resonators represent a compact and sensitive choice known in the industry. This paper details the design, fabrication, and characterization of two methods for improving the performance of ring resonators by engineering their cross section. More precisely, improving devices made out of silicon nitride in an industrial environment to work in the infrared (around 1.31 µm).
The first approach is to selectively excite the first order mode of the ring resonator’s waveguide. The first order mode, with its greater exposure to the sensing liquid than the fundamental mode, results in a higher device sensitivity. The second method consists in coupling a dielectric mode with a surface plasmon polariton (SPP) forming a hybrid plasmonic waveguide. Hybrid plasmonic waveguides combine the low losses of the dielectric mode with the high sensitivity of the SPP, which increases the sensitivity in comparison to conventional dielectric ring resonators. Furthermore, hybrid plasmonic micro-ring resonators make possible a stable and easy differential functionalization.
Through the optical characterization of the devices, this study shows an experimental sensitivity of first order ring resonators of over 200 nm/RIU* and of hybrid plasmonic devices of 300 nm/RIU*. This demonstrates improvement with respect to the reference silicon nitride dielectric ring (120 nm/RIU*). Characterizations were performed using a PolyDiMethylSiloxane (PDMS) fluidic system to prove the compatibility of the substrate to POCT applications.
This paper shows two alternative approaches to integrated nano-photonic sensing for point of care testing. The proposed structures, demonstrate not only a higher sensitivity, but consider selectivity and manufacturing issues, which are fundamental for POCT development.
*RIU = Refractive Index Unit
Laser grooving is a powerful method widely used in the semiconductor industry for chip singulation because of the advantages it provides, such as high grooves profile quality, lower mechanical stresses on devices. Nevertheless, challenges related to unexpected drawbacks on process such as efficiency, quality and reliability still remain. In order to maximize control of this critical process and reduce its undesirable effects, numerical models of nano-second laser pulsed and multistack material interaction have been developed. The modeling strategy using finite elements formalism is based on the convergence of two approaches, numerical and experimental characterizations. To evaluate this interaction, several laser grooved of multilayer samples Cu/SiO2, Al/SiO2, and complete state of the art back-end-of-line (BEOL) material stack were correlated with finite elements modeling. Three different aspects were studied; phase change, thermo-mechanical sensitive parameters as well as optical sensitive parameters. The mathematical model makes it possible to highlight a groove profile (depth, width, etc.) of a single pulse or multi-pulses on BEOL wafer material. Moreover, the heat-affected zone (HAZ) has been predicted as a function of laser operating parameters (power, frequency, spot size, defocus, speed, etc.). After modeling validation and calibration, a satisfying correlation between experiment and modeling results has been observed in terms of groove depth, width and HAZ.
KEYWORDS: Silicon, Germanium, Interfaces, Multijunction solar cells, Photovoltaics, Electrochemical etching, Transmission electron microscopy, Chemical mechanical planarization, Solar cells
III-V solar cell cost reduction and direct III-V/Si integration can both be realized by depositing a thin layer of high-quality Ge on relatively low-cost Si substrates. However, direct epitaxial growth of Ge on Si substrates is difficult due to the 4% lattice mismatch between the film and the substrate. Threading dislocations (TDs) introduced within the Ge layer have a detrimental effect on device performances. The goal of this research is to address the perennial need to minimize the defect density of Ge epilayers grown on a Si substrate. We seek to accommodate the effects of the lattice mismatch by introducing a porous Si interface layer to intercept dislocations and prevent them from reaching the active layers of the device. The porous Si layer is formed through dislocation-selective electrochemical deep etching and thermal annealing. The porous layer created beneath the top Ge layer can both act as dislocation traps and as a soft compliant substrate, which displays high flexibility. Transmission electron microscopy (TEM) analysis of the Ge/porous Si interface shows that the lattice mismatch strain of the Ge films was almost relaxed. The surface roughness of this modified Ge/Si substrate has been reduced using chemical mechanical polishing (CMP) process to fulfil the requirements for epitaxy of III-V alloys. Finally, we present simulation results exploring the effect of threading dislocations on device performance.
We report on design, simulation and fabrication of ultimate and compact 3D close-geometries optical microcavities.
These are based on the extension of the so-called 2.5D nanophotonic approach where a quasi 3D control of the photons
has been soon demonstrated by our group. A tight control of photons, spectrally and spatially, in a small air region
inside a circular regular pattern of high index material-based nanopillars is demonstrated when adjusting the number of
pillars, their diameters and the diameter of the pillar-circle. Bottom-up approach based on InP nanowires grown by
molecular beam epitaxy and top-down approach based on high aspect ratio anisotropic etching have been developed for
fabricating these optical microcavities.
In this paper, we demonstrate electron beam lithography at energies ranging from 2 keV to 100 keV, on sub-100 nm thick
resists. Such uniform and thin electron beam sensitive films can be deposited by evaporation or by plasma deposition.
Two examples of such resists are studied, QSR-5 which is a negative sterol based evaporated resist and QPR-P50 which
is a positive PECVD deposited fluoropolymer resist. AFM measurements demonstrate surface roughness smaller then
3 nm for QSR-5 and 0.7 nm for QPR-P50. In both cases, 50 nm features or better are patterned using electron beam
lithography on a template blank consisting of a glass substrate, coated with a 10 nm thick Cr transfer layer and a resist
layer. LER (3σ) is measured to be less then 8% for 50 nm wide lines in QSR-5 and of approx. 10% for 50 nm wide lines
in QPR-P50.
KEYWORDS: Silicon, Electron beam lithography, Chromium, Monte Carlo methods, Electron beams, Transistors, Silicon films, Resistance, Plasma etching, Line edge roughness
High resolution electron beam lithography poses severe constraints on any suitable resist, namely the need to work with very thin layers in order to achieve highest resolutions, while at the same time possessing suitable resistance to plasma etching. Small molecular sizes are also an interesting avenue for reducing line edge roughness, but result in an increased threshold exposure dose. Several resists currently available cover the range from high resolution to high sensitivity. One interesting property demonstrated using the QSR-5TM resist is substrate conformability. This thermally evaporated resist has a controllable thickness down to 30 nm and surface roughness less than 2 nm and can be deposited onto very small surfaces. In this paper, we will present the results of patterning this resist in a configuration which may be suitable for very high speed field effect devices. A silicon nitride membrane 300 nm thick was prepared on a silicon substrate. QSR-5TM resist is then deposited in two steps, using a Joule effect thermal evaporator with the resist in a powdered state. After a deposition of 30 nm of resist, the substrate is flipped over and a second identical layer is deposited. The membrane is removed from vacuum during the reversal process. The lithography step follows the deposition step and is carried out using a field emission gun SEM converted to electron beam lithography operated at a beam energy of 20 keV. Test patterns with feature sizes ranging from 45 nm to 130 nm were successfully exposed. The advantage of this method is that perfect alignment between the patterns is obtained on both sides of the resist.
We present a luminescence study of as-grown GaN and GaN:Si samples by means of low voltage cathodoluminescence (CL) at low temperature. It is shown that high spatial resolution CL microscopy allows direct luminescence mapping of threading dislocations in the doped and undoped samples. Comparison of monochromatic CL images acquired near the band gap energy (free and bound excitons) and at lower energies (recombination on defects) reveal the dopant segregation around dislocations.
KEYWORDS: Electron beam lithography, Electron beams, Line edge roughness, Semiconducting wafers, Photomasks, Lithography, Systems modeling, Standards development, Line width roughness, Tolerancing
Proximity effects during electron beam exposure have been kept under control by using sophisticated correction algorithms and software, combined with a strategy which aims at increasing the electron beam energy to 50 keV and 100 keV. At these energies, the proximity effects are more uniform and provide a situation where they are easier to correct. However, as feature sizes shrink, and the pattern density increases, this task becomes extremely complex, since tolerances to pattern definition errors are becoming more restricted. An alternate approach is to move to lower electron energies where proximity effects become negligible. Several programs are underway to develop massively parallel electron beam (MPEB) writer systems that have greatly reduced energy in the ≤5keV regime. Selection of the electron beam energy becomes critical below 10 keV, since the tolerance window where proximity effects are indeed negligible is very small. A shot noise model has been elaborated providing minimum exposure doses required for resists at technology nodes of 45 nm and below. These doses increase rapidly with reducing linewidth and impose a minimum number of electron beams for MPEB systems in order to be able to pattern a surface corresponding to a standard full field 6 inch reticle in a reasonable time, and to directly pattern 300 mm wafers at rates of 5, 50 and 100 wafers per hour. An overall set of results is obtained indicating minimum number of electron beams and electron beam current.
Nano-Imprint lithography has garnered much interest in the microlithography and nano-fabrication communities, and appears on the ITRS as a possible future lithography solution. The promise of this approach includes realization of ultimately finer features than might be possible through optical lithography and simplification of mask pattern complexity through the elimination of optical enhancements such as phase shifting and optical proximity correction. Imprinting approaches have demonstrated that sub-50nm lithography of 2D structures is possible using this approach. A potentially enabling method to enhancing imprint lithography is to add additional structures to a 2D template to form 3D profiles, thereby realizing additional benefits that can be achieved through imprinting 3D structures. In this paper we discuss fabrication of such a template, which has the potential to eliminate masking layers by allowing for two or more layers to be imprinted with a single template. A 2D template is formed on a fused silica substrate using Quantiscript's QSR-5 sterol-based vapor deposited electron beam resist, low energy e-beam lithography and reactive ion etching of the underlying substrate. Vapor deposition is especially conducive for patterning ultra thin (<50nm) layers of resist on imprint templates where high resolution structures on the order of 50-100nm are desired. After complete fabrication of the 2D template, a second resist vapor deposition, lithography and etch sequence is performed to add the 3D structures. Since the QSR-5 vapor deposited resist exhibits substrate conformal properties, uniformly thin coatings can be achieved on both 2D surfaces, allowing for high resolution trench-bottom or ridge/mesa-top lithography and processing while simultaneous protection of the initial 2D structures is realized.
Masks for low energy electron proximity projection lithography (LEEPL) require thin membranes, which in turn make the development of low-distortion masks a critical issue for this technology. By using an evaporated resist, a flip side fabrication process is presented here in which mask patterning is carried out with the mask in the same orientation that it will have in the stepper. This new process reduces distortions of a typical LEEPL mask which usually requires patterning on the opposite side of the membrane causing a gravitational sag effects. In addition, an evaporated resist has significant advantages for mask fabrication as membrane distortion is reduced due to the absence of centrifugal force during the resist deposition process. Uniform heat distribution across the membrane during the etching process is also expected since the membrane can now be placed in direct contact with a cooled metal electrode, thereby improving the etch rate uniformity. Also, for large scale production, several mask replicates from the original mask must be made because they have limited lifetime when used in a stepper. Image placement distortion can be minimized and the yield can be improved in mask replication by using an evaporated resist.
A novel and effective approach to nano-fabrication lithography is the vapour deposition of the negative tone electron beam resists QSR-5 and QSR-15 (Quantiscript’s sterol based resist) onto a substrate. Vapour deposition is especially conducive for patterning thin delicate membranes (e.g. advanced masks for X-ray lithography - XRL, and Low Energy Electron Proximity Projection Lithography - LEEPL), that are susceptible to breakage during the spin coating process. With the capability for depositing highly uniform thin layers (<50nm) and a demonstrated resolution better than 60nm, QSR-5 and QSR-15 have potential for the fabrication of next generation lithography masks. Optimized for low energy electron exposure where proximity effects become negligible and thus well suited for 1X lithography mask patterning, QSR-5 and QSR-15 have shown exposure doses as low as 100μC/cm2 at 3KeV. In addition to this type of application, the versatility of QSR-5 and QSR-15 have also been demonstrated by the fabrication of a Fresnel zone plate lens on the tip of an optical fibre with the goal of improving the coupling of diode laser emission into the fiber. This application clearly shows the capabilities of this process for producing nano-scale patterns on very small area surfaces that are completely unsuitable for spin-coating of the resist. A second demonstration of the resist's capabilities is the patterning of optical diffractive elements directly on the facet of a semiconductor laser. This opens the way to direct patterning on laser diode facets in order to control the emission profile from the device. It has also proven capabilities in the manufacture of delicate photo masks. In their natural state, QSR-5 and QSR-15 are solids at room temperature and are sterol based heterocyclic compounds, with unsaturated bonding capable of cross linking. On their own merit, QSR-5 and QSR-15 are capable of cross linking under electron beam exposure and are comparable in certain properties to conventional spin-coated resists such as PMMA. When cross linked, their heterocyclic structure gives it excellent selective resistance to solvent based developers (such as alcohols and ketones) for pattern formation. They have also been shown to be highly resistant to etching solutions, even when working with thin high resolution layers on the order of 30 nm. They are highly stable and have a relatively long shelf life, greater than one year. Compared to conventional resists utilizing complex, toxic, and expensive resin systems, QSR-5 and QSR-15 are non-toxic and significantly cost effective. Before evaporation, the resists are in a powder state that allows for direct evaporation and sublimation onto a target substrate that contributes to film uniformity and capabilities for a very thin film; the powder state allows for a wide degree of adjustment in temperature of the vapour chamber, as a means to achieving the desired film thickness and uniformity.
In this paper we show that low energy ion implantation of InP based heterostructures for quantum well intermixing is a promising technique for photonic integrated devices. In order to fabricate complex optoelectronic devices with a spatial control of the bandgap profile of the heterostructure, there is a list of requirements that have to be fulfilled. We have fabricated high quality discrete blueshifted laser diodes to verify the capability of low energy ion implantation induced intermixing for integration. We also adapted this intermixing process to specific heterostructures in order to obtain submicrometer bandgap tuning spatial control.
Among the key issues for the use of X-ray lithography as a next generation lithography process is the fabrication of masks with high resolution and high aspect ratio absorbent structures. Due to its chemical stability, tantalum is the most widely used absorber for this application. However, the chemical resistance of the etch mask used to pattern the tantalum layer is a problem that needs to be addressed. In this paper, we present a fabrication technique which eliminates the use of such an intermediary etch mask by using a high resolution Silicide Direct-Write Electron Beam Lithography process to pattern the masks.
The scanning electron microscope (SEM) can be used to study and characterize a wide variety of materials used in photonic applications. These range from highly conductive samples to insulating materials. Several different techniques make use of this versatile tool. These include secondary electron imaging, backscattered electron imaging, X-ray analysis (both qualitative and quantitative), electron channeling patterns for studying crystalline materials, charge collection techniques for semiconductor samples and cathodoluminescence. These techniques will be described here with examples of applications. In addition, electron-matter interactions as well as the basic operation principles of the scanning electron microscope will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.