The current optical photolithography technology is approaching the physical barrier to the minimum achievable feature size. To produce smaller devices, new resolution enhancement technologies must be developed. Double-exposure lithography has shown promise as a potential pathway that is attractive because it is much cheaper than double-patterning lithography and can be deployed on existing imaging tools. However, this technology is not possible without the development of new materials with nonlinear response to exposure dose. The performance of existing materials such as reversible contrast enhancement layers (rCELs), and theoretical materials such as intermediate state two-photon (ISTP) and optical threshold layer (OTL) materials in double-exposure applications have been investigated through computer simulation. All three materials yielded process windows in double-exposure mode. OTL materials showed the largest process window (depth of focus (DOF) 0.14 µm, exposure latitude (EL) 5.1%). ISTP materials had the next-largest process window (DOF 0.12 µm, EL 3.2%), followed by the rCEL (0.11 µm, 0.58%). This study is an analysis of the feasibility of using the materials in double-exposure mode.
Generation-three (Gen-3) immersion lithography offers the promise of enabling the 32nm half-pitch node. For Gen-3
lithography to be successful, however, there must be major breakthroughs in materials development: The hope of
obtaining numerical aperture imaging ≥ 1.70 is dependent on a high index lens, fluid, and resist. Assuming that a fluid
and a lens will be identified, this paper focuses on a possible path to a high index resist. Simulations have shown that
the index of the resist should be ≥ 1.9 with any index higher than 1.9 leading to an increased process latitude.
Creation of a high index resist from conventional chemistry has been shown to be unrealistic. The answer may be to
introduce a high index, polarizable material into a resist that is inert relative to the polymer behavior, but will this too
degrade the performance of the overall system? The specific approach is to add very high index (~2.9) nanoparticles
to an existing resist system. These nanoparticles have a low absorbance; consequently the imaging of conventional
193nm resists does not degrade. Further, the nanoparticles are on the order of 3nm in diameter, thus minimizing any
impact on line edge roughness (LER).
The need to extend 193nm immersion lithography necessitates the development of a third generation (Gen-3) of
high refractive index (RI) fluids that will enable approximately 1.7 numerical aperture (NA) imaging. A multi-pronged
approach was taken to develop these materials. One approach investigated the highest-index organic thus far
discovered. The second approach used a very high refractive index nanoparticle to make a nanocomposite fluid.
This report will describe the chemistry of the best Gen-3 fluid candidates and the systematic approach to their
identification and synthesis. Images obtained with the Gen-3 fluid candidates will also be presented for a NA ≥ 1.7.
The current optical photolithography technology is approaching the physical barrier to the minimum achievable
feature size. To produce smaller devices, new resolution enhancement technologies must be developed. Double
exposure lithography has shown promise as potential pathway that is attractive because it is much cheaper than
double patterning lithography and it can be deployed on existing imaging tools. However, this technology is not
possible without the development of new materials with nonlinear response to exposure dose. The performance
of existing materials such as reversible contrast enhancement layers (rCELs) and theoretical materials such as intermediate
state two-photon (ISTP) and optical threshold layer (OTL) materials in double exposure applications
was investigated through computer simulation. All three materials yielded process windows in double exposure
mode. OTL materials showed the largest process window (DOF 0.137 μm, EL 5.06 %). ISTP materials had the
next largest process window (DOF 0.124 μm, EL 3.22 %) followed by the rCEL (0.105 μm, 0.58 %). This study
is an analysis of the feasibility of using the materials in double exposure mode.
The practical extendibility of immersion lithography to the 32nm and 22nm nodes is being supported on immersion
microsteppers installed at SEMATECH in Albany, New York. As the industry pushes the limits of water-based
immersion technologies, research has continued into developing alternative materials to extend optical lithography for
upcoming device generations. High index materials have been the primary focus of investigation, including optical lens
materials such as lutetium aluminum garnet (LuAG with n=2.14) and barium lithium fluoride (BaLiF3 with n=1.64),
high index fluids (Gen 2 and Gen 3 with n>=1.64), and resists. On a parallel and potentially complementary path,
double patterning and double exposure technologies have been proposed. For high index materials research, the
Amphibian XIS has demonstrated imaging at 1.50NA (32nm half-pitch) with high index fluids. A prism module is also
available to enable imaging with potential BaLiF3 and LuAG prisms. The Exitech MS193i has demonstrated
performance and imaging capability at 38nm hp with k1=0.256 at 1.30NA. Modifications at the mask plane now
provide a double exposure capability, offering an imaging platform to investigate experimental classes of nonlinear
materials and enabling double exposure imaging below k1eff=0.25. In this paper, we will discuss recent developments in
these research areas supported by the toolset at SEMATECH.
Base titration methods are used to determine C-parameters for three industrial EUV photoresist platforms (EUV-
2D, MET-2D, XP5496) and twenty academic EUV photoresist platforms. X-ray reflectometry is used to measure the
density of these resists, and leads to the determination of absorbance and film quantum yields (FQY). Ultrahigh levels
of PAG show divergent mechanisms for production of photoacids beyond PAG concentrations of 0.35 moles/liter. The
FQY of sulfonium PAGs level off, whereas resists prepared with iodonium PAG show FQYs that increase beyond PAG
concentrations of 0.35 moles/liter, reaching record highs of 8-13 acids generated/EUV photons absorbed.
For many years, lithographic resolution has been the main obstacle for keeping the pace of transistor densification to
meet Moore's Law. For the 45 nm node and beyond, new lithography techniques are being considered, including
immersion ArF lithography (iArF) and extreme ultraviolet (EUV) lithography. As in the past, these techniques will use
new types of photoresists with the capability to print 45 nm node (and beyond) feature widths and pitches.
In a previous paper ("SEM Metrology for Advanced Lithographies," Proc SPIE, v6518, 65182B, 2007), we compared
the effects of several types of resists, ranging from deep ultraviolet (DUV) (248 nm) through ArF (193 nm) and iArF to
extreme UV (EUV, 13.5 nm). iArF resists were examined, and the results from the available resist sample showed a
tendency to shrink in the same manner as the ArF resist but at a lower magnitude.
This paper focuses on variations of iArF resists (different chemical formulations and different lithographic sensitivities)
and examine new developments in iArF resists during the last year. We characterize the resist electron beam induced
shrinkage behavior under scanning electron microscopy (SEM) and evaluate the shrinkage magnitude on mature resists
as well as R&D resists. We conclude with findings on the readiness of SEM metrology for these challenges.
A potential extension of water-based 193-nm immersion lithography involves transition to a higher refractive index
organic immersion fluid coupled with a higher index last lens element. While considerable progress has been made in
improving the photo-durability of the immersion fluid itself, photo-induced contamination of the last lens element
caused by laser exposure in the presence of such organic fluids remains a major concern. In this work, we study
remediation strategies for such contamination, which would be compatible with conventional lithographic production
environments. In general, surface photocontamination layers were found to be highly graphitic in nature, where the
first monolayer is strongly bound to the substrate. We have attempted to develop a surface passivation treatment for
altering the monolayer chemistry and preventing large-scale contamination, but found such treatments to be unstable
under laser irradiation. On the other hand, using hydrogen peroxide as a in-situ cleaning solution has been shown to be
extremely effective. We also present first laser-based durability results of LuAG, which is a leading candidate
material for high index last element to be used with high index fluids.
For many years, lithographic resolution has been the main obstacle for keeping the pace of transistor densification to
meet Moore's Law. The industry standard lithographic wavelength has evolved many times, from G-line to I-line, deep
ultraviolet (DUV) based on KrF, and 193nm based on ArF. At each of these steps, new photoresist materials have been
used. For the 45nm node and beyond, new lithography techniques are being considered, including immersion ArF
lithography and extreme ultraviolet (EUV) lithography. As in the past, these techniques will use new types of
photoresists with the capability of printing 45nm node (and beyond) feature widths and pitches.
This paper will show results of an evaluation of the critical dimension-scanning electron microscopy (CD-SEM)-based
metrology capabilities and limitations for the 193nm immersion and EUV lithography techniques that are suggested in
the International Technology Roadmap for Semiconductors. In this study, we will print wafers with these emerging
technologies and evaluate the performance of SEM-based metrology on these features. We will conclude with
preliminary findings on the readiness of SEM metrology for these new challenges.
KEYWORDS: Electron beams, Polymers, Oxygen, Electron beam lithography, Lithography, Atomic force microscopy, Dimensional metrology, 193nm lithography, Monte Carlo methods, Critical dimension metrology
CD-SEM is currently poised as the primary method of choice for CD metrology because of its nanometer scale spatial
resolution, superior precision, and relatively high throughput. However, issues still continue to emerge that can threaten
the measurement performance for the various sample types encountered. The impact of issues arising from electron
beam induced modification of the sample materials on critical dimensional metrology and lithographic process control
will be assessed and approaches to mitigate these effects will be proposed. The two primary issues of interest related to
scanned electron beam based measurements of patterned lithographic materials in this article are shrinkage of the ArF
193nm resist and undesired deposition of contaminants occurring during CDSEM measurements.
In the past, most lithography simulators have used the thin-mask or Kirchhoff approximation to calculate the diffraction pattern for imaging calculations. This approximation has been very accurate for binary reticles, and rigorous solutions to the full Maxwell equations were only required for "exotic" technologies such as alternating phase-shift masks and chromeless phase lithography (CPL). For the future technology nodes, the thin-mask approximation may be insufficient even for binary reticles. This means that solution of the full Maxwell equations will be required for most, if not all, lithography simulations, and that these simulators must be robust and accurate, especially when used by someone who is not an expert in solving the Maxwell equations. In a previous series of papers, we proposed benchmarks for lithography simulators drawn from the optics literature for aerial image and optical film-stack calculations. We extend this work and present benchmarks here for Maxwell equation solvers. These benchmarks can be easily applied to any mask topography simulator.
Most lithography simulation software, such as OPC decoration engines, employ the thin-mask approximation for imaging calculations. While it is well known that a more rigorous approach is often needed for alternating phase-shift reticles, a solution to the full Maxwell equations is rarely used for binary masks. In the past, both the patterns and the thickness of the patterns for COG and attenuated PSM were relatively small compared with the illuminating wavelength. For the future technology nodes, this will not be true. For example, scattering bars are typically a quarter to a third of the size of the main feature. This means that a 65nm isolated line will typically have 65nm to 85nm assist features (mask scale). We have found a non-constant bias through pitch for low k1 imaging that is not found with the thin-mask approach. COG and attenuated binary masks are simulated for varying feature sizes and for both lines and spaces.
In the past, most lithography simulators have used the thin-mask or Kirchhoff approximation to calculate the diffraction pattern for imaging calculations. This approximation has been very accurate for binary reticles, and rigorous solutions to the full Maxwell equations were only required for “exotic” technologies such as alternating phase-shift masks and chromeless phase lithography (CPL). For the future technology nodes, the thin-mask approximation may be insufficient even for binary reticles. This means that solution of the full Maxwell equations will be required for most, if not all, lithography simulations, and that these simulators must be robust and accurate, especially when used by someone who is not an expert in solving the Maxwell equations. In a previous series of papers, we proposed benchmarks for lithography simulators drawn from the optics literature for aerial image and optical film-stack calculations. We extend this work and present benchmarks here for Maxwell equation solvers. These benchmarks can be easily applied to any mask topography simulator.
Most lithography simulation software, such as OPC decoration engines, employ the thin-mask approximation for imaging calculations. While it is well known that a more rigorous approach is often needed for alternating phase-shift reticles, a solution to the full Maxwell equations is rarely used for binary masks. In the past, both the patterns and the thickness of the patterns for COG and attenuated PSM were relatively small compared with the illuminating wavelength. For the future technology nodes, this will not be true. For example, scattering bars are typically a quarter to a third of the size of the main feature. This means that a 65nm isolated line with typically have 65nm to 85nm assist features (mask scale). We have found a non-constant bias through pitch for low k1 imaging that is not found with the thin-mask approach. Results are presented for varying feature sizes, chrome thickness, and for both lines and spaces.
Numerical simulation has become an indispensable tool for the design and optimization of photolithographic processes. Because the semiconductor industry now relies heavily on these tools, it is necessary to be able to benchmark their accuracy - as feature sizes continue to shrink, the numerical error in these simulators must decrease as well. In a previous paper, we proposed benchmarks for aerial image calculation that were drawn from the optics literature. Because these benchmarks were closed-form solutions, we could use these results as an absolute standard for determining the numerical accuracy of an aerial image calculation. In the current study, we continue this effort by presenting closed-form solutions that can serve as benchmarks for the resist response to the projection optics. Benchmarks are proposed for film stack reflectivity and image in resist. Specific results will be presented for PROLITH.
Recently the Lumped Parameter Model (LPM) has been extended to three dimensions enabling fast calculations of full resist profiles. This resist model incorporates most of the lithographically significant physical phenomenon of resist systems. This model works well to match isolated and semi-isolated line resist systems. However, it is not very successful at matching contact hole or isolated trench resist systems. The reason for this mismatch can be traced to the influence of base quencher present in chemically amplified (CA) resists yet absent from the original LPM. The quencher effectively splits the aerial image into two complementary images. These two images (acid and base) simultaneously diffuse and react with each other. A single aerial image diffusion model cannot approximate the resulting coupled quenching-diffusion system. An improved LPM that incorporates quencher and its diffusion is presented. Successful implementation of this model requires solving the coupled quenching-diffusion system in a fast and accurate manner. Several solution methods are discussed. The agreement between the Lumped Parameter Model and a full CA resist model is greatly improved. This improvement will enable fast and more accurate calculations of resist affects on three-dimensional imaging bias.
The stringent requirements facing modern chemically amplified photoresists and antireflective coatings make computer physical simulation methods a valuable tool for photoresist and ARC research and design. Hypothetical microlithographic processes involving toolsets that are unavailable to the experimenter may be evaluated. Complex photoresist physical reaction phenomena, often difficult to measure experimentally, may be evaluated within the limits of the mathematical models used. This work details the mechanics and application of a custom simulation tool written for the modeled study of reactive soluble ARCs (DBARCs), soluble ARCs, and photoresist-ARC interactions - phenomena not readily modeled by commercially available software at the time of this paper. Photoresist and ARC interactions are modeled by computing two-dimensional composite diffusion and reaction. Soluble ARCs, either reactive (DBARCs) or nonreactive, are modeled using composite diffusion, a full level-set front tracking development method and multiple development rate functions. Physical models, mathematical formulations and numerical methods of solution are shown. Scenarios hypothesizing the origin of photoresist profile foot formation are discussed and modeled. Models of reactive, soluble ARCs (DBARCs) are compared to models of constant development rate soluble ARCs. The effects of specific reactant diffusion and reaction upon DBARC dissolution rate contours are modeled.
Immersion lithography has recently emerged as the leading candidate for extending 193nm lithography to the 45nm lithography node and beyond. By immersing the wafer in a high index fluid, lens designs with numerical apertures (NAs) approaching the refractive index of the fluid are possible. While such a high numerical aperture is normally accompanied by an extreme decrease in the depth of focus at the resolution limit, an advantage of the immersion approach to increasing the numerical aperture is that the depth of focus is increased by at least a factor of the refractive index, mitigating some of the DOF loss due to the higher NA and smaller feature. Though this technique for resolution enhancement is receiving significant attention, useful experimental data on the subtle effects of such high NA imaging is one to two years away. Thus, simulation is expected to bridge the gap in immersion lithography research.
In this paper, the fundamental imaging physics of immersion lithography will be described. The impact of resolution and depth of focus will be explored, as well as the subtle though significant influence of hyper NAs on polarization related thin film effects and the definition of intensity. With a rigorous model in place, the use of immersion lithography for extending 193nm towards its ultimate limits will be explored.
The resist models in PROLITH are designed to be a mechanistic description of the resist chemistry and physics of optical lithography. This is especially true for the expose and post-exposure bake processes, where the resist chemistry can be mapped almost directly to the input parameters in the PROLITH models. In this study, we review the models in PROLITH and show how different chemistry parameters, such as the quantum yield and the reaction kinetics during PEB, can be translated into resist model parameters. With this “chemist to simulator” translator, we show how the models can be used to better understand how resist formulation impacts resist response. Specifically, we will show how quencher loading, and acid and quencher diffusivities impact depth of focus for isolated and dense features.
As critical dimensions in microlithography become ever smaller and the importance of line edge roughness becomes
more pronounced, it is becoming increasingly important to gain a fundamental understanding of how the chemical
composition of modern photoresists influences resist performance. Modern resists contain four basic components:
polymer, photoacid generator, dissolution inhibitor, and base quencher. Of these four components, the one that is least
understood is the base quencher. This paper examines the influence of base additives on line edge roughness, contrast,
photospeed, and isofocal critical dimension (CD). A mathematical model describing the tradeoff between contrast and
photospeed is developed, line edge roughness values for different base types and loadings are reported, and isofocal CD
is shown for various photoacid types as well as for different base types and loadings.
We present a derivation of a theoretical corner radius function describing analytically the corner shape and curvature as a function of position on along the feature profile. This function allows us to better describe corner rounding and the process influence (imaging, diffusion, etc.) responsible for corner rounding in mask writing and lithographic imaging. When extracting a corner radius from a feature profile shape, two approaches have been used. The first assumes a single corner radius for the entire profile shape. The profile shape is fit to a single circular function to obtain the corner radius. However because the curvature is not constant the corner radius value thus obtained is contaminated by values not part of the actual corner-even if the profile is data is windowed to contain only points near the corner. The second approach defines the corner radius as equal to the maximum value of the curvature in a region near the corner. This definition is very susceptible to noise in the profile (line edge roughness, etc). A better approach is to fit the profile to a theoretical curvature-verses-position function for a perfect corner imaged using a non-perfect imaging system. This theoretical curvature verses position function can be derived for simple optical imaging systems, chemical diffusion, and Gaussian laser writers. We couple this analysis with simulations of generalized mask writing processes to better understand the nature of corner rounding. The mask writing process is modeled in Fourier space as a convolution with a possibly asymmetric Gaussian kernel. Taking an isocontour of the resulting image corresponding to the desired level of bias gives quick approximate mask shape as might be obtained from a real mask writing device such as a laser writer with an asymmetric intensity profile to its beam.
At the heart of the tremendous advances of optical microlithography are the resists and the people who drove them to ever higher performance. In 1980, a resist could image reliably around a k1 of 1.0 to 0.8. Today without any other extreme RET, resists with anti-reflection coatings production imaging has extended resolution to 0.6 to 0.45 k1, effectively doubling the NA of the integrated imaging system. The manipulation of the interrelationships of the physics and chemistry of the imaging process has made this possible. History shows that resists must be designed to best utilize the image being formed in them and that a resist designed for one application may not work for another. This holds true for resolution enhancement techniques as well, for example the quality and brightness of a weak phase-shifted contacts depends on the strength of a side lobe, however, if the side lobe is too bright it will print unless the resist is modified not to print it. Also as technology moves to smaller features severe proximity effects make it necessary to adjust resist performance to compensate for these effects. In this discussion we concentrate our discussion to the physical chemical effects that makes it possible to extend resolution using resolution enhancement techniques. We will concentrate on energy coupling into the film with high NA imaging at the diffraction limit, the reaction-diffusion reaction and the impact of acid and base diffusion in chemically amplified resists.
For lithography simulation physically correct resist models are required to achieve the best prediction of resist images across multiple process conditions. In the past, very limited work has been done to integrate the soft bake process into the full resist model. In this paper we describe how the soft-bake process generates a non-isotropic physical state in the resist. Then simple models for the effect of the solvent concentration, quencher concentration and free volume on the Exposure, PEB and develop kinetics are proposed and implemented. These models are coupled with the soft bake evaporation diffusion model to produce a physically based chemically amplified resist model that covers every processing step. The resulting model is used to simulate the kinetics for a chemically amplified resist as a function of soft bake condition.
KEYWORDS: Metrology, Scanning electron microscopy, Cadmium, Lithography, Data modeling, Computer simulations, Monte Carlo methods, Calibration, Shape analysis, Line scan image sensors
Because of their high quality, repeatability, and non-destructive nature, CD-SEMs are the gold standard for metrology in the fab. Yet, there are known offsets from this metrology type compared to others. For example, there is an inherent bias in the measurements made on the top down CD-SEM relative to measurements made from cross-sections. The underlying causes for this bias are complex, and are related both to the measurement techniques used and the interpretation of the data in terms of a specific measurement model. In extracting a line width measurement from a CD-SEM line-scan, for example, the line-scan analysis algorithm interacts with the resist profile shape to produce reported CD. The influence of the resist profile shape on the CD for top down measurements will, in general, be different from the influence of profile shape on a cross-sectional measured CD. We present here a study of CD metrology made with top down CD-SEMs and the corresponding cross-sectional metrology taken from the same structures on the same wafers. The experimental data show the top-down to cross-section offsets to be small, but present over a variety of profile shapes and measurement algorithms. We then use a simple simulation of a typical CD-SEM measurement to predict the offset as a function of beam properties, material composition, and profile shape of the structures being measured. We compare our simulations with the experimental data, tuning the model to give accurate results for our test structures. In this manner, we hope to adequately predict the top-down offset and thereby eliminate it as a source of error in calibrating a lithography simulator.
The paper introduces an improved, physics-based function for fitting lithographic data from focus-exposure matrices. Unlike simple polynomial functions, the coefficients of this equation offer physical insight into the meaning and nature of the data. Derivation of this equation from first principles of the physics of lithographic imaging is presented. Examples based on typical experimental data are shown and the advantages of using a physics-based fitting function is described based on improved fitting and noise filtering.
We introduce the concept of etch simulations for lithography engineers. Traditional lithographic simulations begin with a design layout and model the optical and chemical processes involved in reproducing the design as a 3-dimensional photoresist pattern. What we are really after, however, is information about the pattern, as it would appear in silicon. To achieve this goal, we devise an etch algorithm whose inputs include a full lithography simulation and minimal information about an intended etch process. Namely, we take as inputs the horizontal and vertical etch rates for each material in the film stack, the angular distribution of the incoming ion flux, and possibly a fitting coefficient for physical sputtering processes. We then produce a set of output metrics -- before and after etch -- including the CD, sidewall angle, resist loss, etch depth, etc. This gives us the opportunity to look at after etch metrology as a function of traditional lithographic input variables such as focus, exposure dose, etc., and to understand the impact of lithographic changes on after etch CDs and process windows, but without being bogged down with the physical details of the etch process. This simplified approach to etch simulation yields several useful results. In this paper we present a study of the influence of the resist profile on after etch CDs; we look at process window determinations made before and after etch; and we consider OPC variations and their effects on pattern fidelity in post-etch silicon. In addition, we consider the etch module as an extension of the lithography simulator, allowing for modeling of a bilayer resist.
For some applications, the usefulness of lithography simulation results depends strongly on the matching between experimental conditions and the simulation input parameters. If this matching is optimized and other sources of error are minimized, then the lithography model can be used to explain printed wafer experimental results. Further, simulation can be useful in predicting the results or in choosing the correct set of experiments. In this paper, PROLITH and ProDATA AutoTune were used to systematically vary simulation input parameters to match measured results on printed wafers used in a 193 nm process. The validity of the simulation parameters was then checked using 3D simulation compared to 2D top-down SEM images. The quality of matching was evaluated using the 1D metrics of average gate CD and Line End Shortening (LES). To ensure the most accurate simulation, a new approach was taken to create a compound mask from GDSII contextual information surrounding an accurate SEM image of the reticle region of interest. Corrections were made to account for all metrology offsets.
While numerical simulation is generally regarded as indispensable for wavefront engineering tasks such as OPC decoration and phase-shift mask design, full resist models are rarely used for this purpose. By full resist models, we mean models derived from a physical, mechanistic description of the chemical response of the photoresist to exposure and the subsequent PEB and develop processes. More often, simplified models such as an aerial image threshold model or the Lumped Parameter Model (LPM) are used because these models are much faster and make optimization of optical extension technology more tractable. Simplified resist models represent a compromise between computational speed and simulation accuracy. The purpose of this study is to quantify the differences between the process windows calculated with simplified and full resist models. Our approach is first to fit the parameters in the simplified models to match results obtained with a full resist model, and then to compare the predictions of the simplified resist models with those obtained with the full model. We take two approaches to model tuning: mathematical derivation of relationships between the models, and least-squares fitting of FE matrix data for isolated and dense lines.
Simplified resist models are desired for fast simulation of resist profiles over large mask areas. The Lumped Parameter Model was originally developed as one such model. However, the LPM model has been limited to 2D resist simulations of 1D aerial image slices with positive tone resists. In this paper we present a modified Lumped Parameter Model applicable to 3D resist simulations of both positive and negative tone resists. In addition several new LPM parameters are introduced that further improve accuracy. The derivation of the 3D LPM model, rationale for including the new parameters, and simulation results using the new model are given.
The design of 157nm photoresist is a daunting task since air, water, and most organic compounds are opaque at this wavelength. Spectroscopic studies led to the observation that fluorinated hydrocarbons improve the transparency of 157nm resist materials rather dramatically. These fluorinated resists have quickly become the prominent material platform for a variety of research activities. Regardless of wavelength, developing a practical photoresist material is always challenging; the added difficulties associated with 157nm radiation complicates the overall design problem and severely limits the choice of material classes to work with. This paper will discuss our 157nm simulation and parameter extraction efforts that have been completed over the past few months at International SEMATECH. During the past year we have developed the methodologies and practical test methods that are needed to study the lithographic behavior of 157nm resist systems. Our work is based on procedures in the open literature and augmented by internal research.
KEYWORDS: Semiconducting wafers, Diffusion, Scanning electron microscopy, Finite element methods, Calibration, Photomasks, Data modeling, Temperature metrology, Photoresist materials, Lithography
In this work an automate optimization routine is used to modify modeling parameters for a chemically amplified photoresist, with the goal of minimizing the error observed between lithography simulation and experimental results. It is shown that a basic tuning procedure modifying, optimizing only CD measurement offset and acid generation efficiency, improves the fit significantly. Further improvements can be made by optimization of the diffusion-deprotection kinetic parameters, in combination with the two aforementioned values. It is shown further improvement is observed if the actual temperature profile experienced in the postexposure bake process is considered and the temperature dependence of both the diffusion and the deprotection processes are optimized. This parameter values that result in this improvement infer a temporal offset in the start, and finish, of deprotection and acid diffusion.
Chemically amplified photoresists where first created for broadband deep UV imaging, then used for monochromatic KrF excimer laser light, then ArF and now F2 for 157nm imaging. With this experience we may able to build The Perfect Photoresist. This may sound ridiculous, however, take a good look at the SIA roadmap, and the timing in which 157nm imaging will be needed. There are numerous arguments regarding 157nm insertion, some say 100nm and others say the 70nm node; regardless of the timing it is approaching quickly. The time allowed to develop such technology is decreasing. If we recall back to the early 1980's when deep UV (248nm) materials development started, it has taken nearly 10-12 years to perfect them. ArF materials, whose development began in the early 90's, will take approximately 8 years before reaching manufacturing (and there is still great improvement needed). Now let's focus on the timeline for 157nm resist: its development began in 1999 and it must be ready for early manufacturing by the end of 2004. Most, if not all, photoresist companies have plans to develop photoresist technology for 157nm. Many of these solutions are based on existing KrF or ArF materials technology with modifications to meet optical density requirements for 157nm. Considering the implementation node for this technology (let's assume 70nm), films thickness' will most likely not exceed 250nm and in most cases range from 150nm to 200nm. These films thicknesses bring on new and unique challenges, however, they can be managed. The authors will take into consideration three different types of device level imaging: gate, trench and contact holes for single layer imaging. Through the use of designed simulation experiments and existing data we will construct different photoresist models to meet the requirements for these device levels. We'll plan to test whether resist models calibrated at 248nm can be extrapolated to 157nm. The authors will also explore the question of optical density (B parameter), chemical contrast, exposed & unexposed film dissolution along with acid diffusion to provide the insight that can aid in developing materials for the photoresists composition. We will investigate the use of binary and attenuated reticles with various illumination conditions over several substrates, to provide insight into designing The Perfect Photoresist for 157nm Imaging.
A method is presented for automatically adjusting the input parameters of a lithography simulator to more accurately match a given set of experimental conditions. Using contrast curves, swing curves or focus-exposure matrices, simulation parameters are automatically modified in a search to minimize the difference between the simulated results and the experimental data. The algorithms used are described, as well as their robustness and sensitivity to experimental noise. Results of these tuning procedures are presented and the tuned set of parameters is shown to give good quantitative agreement of simulation to experiment.
157nm lithography is expected to be the lithography choice for the 100nm-technology node, which is scheduled to be in full-production in 2003. However, due to 157nm photons being strongly absorbed by commonly used polymeric organic materials, a completely new class of material (containing F and Si-O) will be needed for 157nm Single Layer Resist (SLR) system. It is expected that the 157nm SLR system development will take greater than 3 years, which the industry will barely have, until the projected 2003 production schedule. In an attempt to fill the gap and to provide working resist system, using thin (<100nm)films of existing resist materials along with inorganic thin hardmask/BARC films is an attractive approach. In this paper, we report the optical constants (n % k at 157nm as well as 193nm and 248nm) of various thin film hardmask/BARC candidate materials (SixNyHz, SixOyNz, SixCyCVD and TixNyPVD films) measured by VUV-VASE. The films' atomic compositions, determined by RBS/HFS, were varied by controlling feed gas flow rates in order to vary the optical behavior. However, we limited our study within the low process temperature PE-CVD and PVC films due to our intention of using these films along with LowK(2.7approximately equals 2.0) dielectric materials. In addition, we will also report the optical constants of two types of LowK materials (PE-CVD OSG film and Spin- On/Cure low-density organosilicate dielectrics by JSR.) The data is, then, used to optimize the physical properties (n & k) and utilized to determine suitable hardmask/BARC material for 157nm exposure using Prolith II simulation. The results containing property of these hardmask/BARC candidate films and our optimization analysis along with the first successful pattern transfer feasibility demonstration into realistic substrate material (poly-Si) using ultra thin resist (currently existing) at 157nm optical lithography are reported.
We report on the development and exploitation of a new type of chemically amplified resist for 193 nm microlithography. The approach has great versatility as it involves a general structure amenable to radical cyclopolymerization that contains easily interchanged ester functionalities. As the mechanism of polymerization involves free radicals, changes may be made either in the polymerization conditions or in the monomer feed to adjust variables such molecular weight or etch resistance. The latter property is favorably influenced by the formation of new ring structures during polymerization. Variations in the nature of the ester moieties contained in the monomer area easily accomplished to modify the imaging characteristics, surface properties, or etch resistance of the polymers. We report the preparation of a number of novel polymer and copolymer structures and their preliminary testing as resist candidates for 193 nm lithography.
Top surface imaging (TSI) systems based on vapor phase silylation have been investigated for use at a variety of wavelengths. This approach to generating high aspect ratio, high resolution images held great promise particularly for 193 nm and EUV lithography applications. Several 193 nm TSI systems have been described that produce very high resolution (low k factor) images with wide process latitude. However, because of the line edge roughness associated with the final images, TSI systems have fallen from favor. In fact, top surface imaging and line edge roughness have become synonymous in the minds of most. Most of the 193 nm TSI systems are based on poly(p-hydroxystyrene) resins. These polymers have an unfortunate combination of properties that limit their utility in this application. These limiting properties include (1) High optical density (2) Poor silylation contrast (3) Low glass transition temperature of the silylated material. These shortcomings are related to inherent polymer characteristics and are responsible for the pronounced line edge roughness in the poly(p-hydroxystyrene) systems. We have synthesized certain alicyclic polymers that have higher transparency and higher glass transition temperatures. Using these polymers, we have demonstrated the ability to print high resolution features with very smooth sidewalls. This paper will describe the synthesis and characterization of the polymers and their application to top surface imaging at 193 nm. Additionally, it will describe the analysis that was used to tailor the processing and the polymer's physical properties to achieve optimum imaging.
A great deal of progress has been made in the design of single layer positive tone resists for 193 nm lithography. Commercial samples of such materials are now available from many vendors. The patterning of certain levels of devices profits from the use of negative tone resists. There have been several reports of work directed toward the design of negative tones resists for 193 nm exposure but, none have performed as well as the positive tone systems. Polymers with alicyclic structures in the backbone have emerged as excellent platforms from which to design positive tone resists for 193 nm exposure. We now report the adaptation of this class of polymers to the design of high performance negative tone 193 nm resists. New systems have been prepared that are based on a polarity switch mechanism for modulation of the dissolution rate. The systems are based on a polar, alicyclic polymer backbone that includes a monomer bearing a glycol pendant group that undergoes the acid catalyzed pinacol rearrangement upon exposure and bake to produce the corresponding less polar ketone. This monomer was copolymerized with maleic anhydride and a norbornene bearing a bis-trifluoromethylcarbinol. The rearrangement of the copolymer was monitored by FT-IR as a function of temperature. The synthesis of the norbornene monomers will be presented together with characterization of copolymers of these monomers with maleic anhydride. The lithographic performance of the new resist system will also be presented.
Interest in developing materials with reduced environmental impact has led us to design resist formulations that can be cast from and developed with aqueous media. A water soluble chemically amplified positive tone photoresist based on thermal decarboxylation of a half ester of malonic acid has been designed. Two solubility switches are required for this application. Sequential volatilization of ammonia followed by decarboxylation of a malonic acid gives the first solubility switch and an acid catalyzed thermolysis of an acid labile protecting group gives the second. The thermal stability of the acid labile protecting group is critical in this design. Tert-butyl esters decompose during the decarboxylation process resulting in poor imaging contrast. Polymers bearing isobornyl esters are more thermally stable, and show excellent reaction selectivity between the decarboxylation and the thermolysis of the ester. Preliminary imaging of this system provided 1 micrometer resolution with 248 nm exposure and standard TMAH developer. The dry etch stability of the photoresist films is comparable to a conventional photoresist APEX-ER.
Finding materials that offer the all of the characteristics required of photoresist matrix resin polymers while trying to maintain a high level of transparency at 157 nm is a daunting challenge. To simplify this task, we have broken the design of these polymers down into subunits, each of which is responsible for a required function in the final material. In addition, we have begun collecting gas-phase VUV spectra of these potential subunits to measure their individual absorbance contributions. Progress on developing materials for each of these subunits are presented along with plans for future studies.
This paper reports on the use of trimethyl sulfonium hydroxide as a base additive for 193 nm applications, which is found to stabilize the latent image as well a act as a photodecomposable base. Delay time stability (exposure to post-exposure bake) of formulations consisting of trimethylsulfonium hydroxide is compared to that of a non- photodecomposable base (diethanolamine) in both methacrylate- and cycloolefin-based 193 nm resists. Resist formulations made using the trimethylsulfonium base were stable for more than one hour, while the reference formulation with diethanolamine showed T-top formation within 10 minutes delay time under the same conditions. The trialkylsulfonium hydroxide base additives were found to be photodecomposable by measuring the acid produced upon exposure. Compared to a non- photodecomposable base containing resist, the photodecomposable base containing resist produced more acid in the exposed areas under identical PAG/BASE molar ratios.
193 nm photoresists on the market today can be classified into three different chemical platforms. The first platform involves acrylate type polymers, the second one cycloolefin- maleic anhydride (COMA) type polymers, and the third one a mixture of both. In this paper, we present a complete review of the lithographic performances at the 130 nm node, for 10 different commercially photoresists, coming from the three different chemical platforms. The results include various criteria: linear resolution, depth of focus, dose latitude, proximity bias and edge roughness for 130 nm lines (various pitches from isolated to 1:1 dense), depth of focus for 100 nm isolated lines, depth of focus and dose latitude for 140 nm contact holes, PEB temperature sensitivity (CD variation vs PEB temperature), thermal stability (post development bake stability), exposure -- PEB delay stability. Also, pattern collapse tendency and etch selectivity to both polysilicon and SiO2 are presented. We then correlate some of these results to the thermal properties (glass transition and decomposition temperatures) of the materials. We finally conclude about the pros and cons of each chemical platform for achieving the 130 nm node requirements.
We describe a cyclopolymerization approach to novel cyclic materials incorporating a) etch-stable adamantyl esters and b) t-butyl esters as functionalities suitable for chemical amplification. The synthesis of the monomers follows a highly convergent approach from the readily synthesized 1- adamantyl malonate ester. Two polymerizable side chains are then added, incorporating either a(t-butyl acrylate esters, or b) a terminal olefin functionality. These bifunctional, carbon-rich monomers undergo smooth and efficient free radical ring-closing cyclopolymerization to afford soluble, processable polymers that do not contain residual olefinic signals. In order to optimize the lithographic performance of the materials, these crystalline monomers can also be copolymerized with maleic anhydride, or other desirable monomers. These resists show excellent transparency at 193 nm and outstanding etch resistance. When used in combination with a photoacid generator, they ca be used to formulate deep UV, chemically amplified photoresists. Preliminary imaging experiments conducted with a 193 nm ArF laser stepper exposure unit demonstrate features below 0.18 (mu) .
KEYWORDS: Photoresist developing, Picture Archiving and Communication System, Data modeling, Temperature metrology, Photoresist materials, Chemically amplified resists, Chemical analysis, Mathematical modeling, Semiconducting wafers, Photoresist processing
The effects of developer temperature and developer normality on the dissolution behavior of a 248nm chemically amplified resist are examined using development rate measurements. Using a RDA-790 development rate measurement tool employing a 470nm Blue LED measurement wavelength, dissolution rats as a function of dose and depth into the resist were measured. Each data set was analyzed and the performance of rate versus t-BOC concentration was fit to appropriate models. The variation of these results with developer temperature has led to further temperature-dependent characterization of the dissolution modeling parameters. The variation of dissolution rate with developer normality has led to an initial characterization of the normality-dependent dissolution modeling parameters. The maximum dissolution rate Rmax is shown to exhibit two regions of Arrhenius behavior with a well defined activation energy for both. The dissolution selectivity parameter n proves to have a more complicated behavior.
The goal of this paper is to define a 'state of the art' of the lithographic performance obtained with an advanced 193 nm single layer resist process, for 150 nm technology generation specification and below. Even if the goal of the paper is not to propose a process ready to be implemented in pilot lines, the resist used should be commercially available and exhibit good performance. The Sumitomo PAR101 A4 meets both criteria and is selected for the study. In order to get the best performance from the resist, all evaluation work is completed using a BARC. Both inorganic and organic materials are first considered; their processes are optimized to obtain the best reflectivity control and chemical compatibility with the resist. Then, using G0, conventional illumination and a binary reticle, the process performance is evaluated in terms of linearity, depth of focus, energy latitude and proximity effects for 150 nm and 130 nm lines, and depth of focus and energy latitude for 170 nm contact holes. Different optical extension techniques are then compared for increasing the 130 nm lines process latitudes: off-axis illumination, and alternating phase shift masks.
The role of block copolymers as additives for improving resist performance in the area of 193 nm lithography is investigated in this study. We have demonstrated that specifically designed block copolymers when tailored to resist matrices to which they are added can profoundly enhance resist imaging performance. This improvement can be attributed to the ability of the block copolymers to modify surface and interfaces and to control photoacid generator distribution within the resist film. Ion beam techniques such as Rutherford Backscattering and Forward Recoil Spectrometry, used to analyze the distribution and segregation behavior of the photoacid generators and block copolymer additives, will also be described.
The design of 193 nm photoresists with improved reactive ion etch (RIE) resistance has been a longstanding aim of both industrial and academic research and development programs. A variety of correlations between photoresist polymer structure and etch resistance have been developed, however, the universality of these approaches, and in particular, the practicality of marking comparisons across specific polymer families and specific RIE processes has recently been called in to question. In order to examine structure: RIE correlations in more detail, we have developed a new model based on the incremental structural parameters (ISP). This model makes use of a molecular fragment-based definition of polymer structure which incorporates and extends aspects of previous parameters such as the Ohnishi and Ring parameters. An initial study revealed that this model allowed quantitative correlations between polymer families and across etch processes to be made. Continuing studies which examine the use of the ISP model in integrating 193 nm photoresists in prototype production processes will be described. Various polymer families used in deep-UV and 193 nm photoresists including methacrylates, alternating copolymers, styrenes and cyclic olefins will be compared. We will present a more detailed description of the ISP a model and of the follow-on 'new' ISP method which has been developed base don insights gained from the original ISP model, and made extended comparisons between the tow ISP models.
The need for antireflective layers for good lithographic performance is a necessity for 193 nm wavelength exposures. In this paper we will illustrate two solutions for reflectivity control. The first is a spin-on organic bottom antireflectivity coating optimized for 193nm resist and the other is an inorganic film, SiOxNy.
Photolithography has now moved forward to such an extent that we are considering imaging the 130nm and 100nm technology nodes with optical system, unthinkable a handful of years ago. To do this we have been using the biggest control knob we know, wavelength. The latest wavelength to be introduced into production will be 193nm which will arrive with full field scanners this year. To image using 193nm we have had to radically change the polymer systems we use due to high absorption with conventional chemistries. This has led to materials that will be the most difficult to integrate into manufacturing that we have ever faced. The primary challenge we encounter is the high resist thickness loss in the pattern transfer steps. This is primarily due to low etch resistance of the materials in use but we will also show that photochemical deprotection of the resist during etch has a contribution. One approach to overcome this is to use significantly thicker resist films, this leads to its own problems with pattern collapse a major worry which could easily become a limiting factor in this technique.
KEYWORDS: Photoresist developing, Temperature metrology, Data modeling, Picture Archiving and Communication System, Photoresist materials, Chemically amplified resists, Chemical analysis, Lithography, Mathematical modeling, Semiconducting wafers
The effects of developer temperature on several conventional resist and one chemically amplified resist, and the effects of developer normality on the dissolution behavior of a 248nm chemically amplified resist, are examined using development rate measurements. Using an RDA-790 development rate measurement tool, dissolution rates as a function of dose and depth into the resist were measured. Each data set was analyzed and the performance of rate versus dissolution inhibitor concentration was fit to appropriate models. The variation of these results with developer temperature has led to temperature-dependent characterization of the dissolution modeling parameters. The variation of dissolution rate with developer normality has led to an initial characterization of the normality-dependent dissolution modeling parameters.
Diffusion of the photogenerated acid during the period of time between exposure and development can cause contrast loss and ultimately loss of the latent image. This is especially relevant for chemically amplified photoresists that require a post-exposure baking step, which in turn facilitates acid diffusion due to the high temperature normally employed. It is thus important to develop techniques with good spatial resolution to monitor the photogeneration of acid. More precisely, we need techniques that provide two distinct types of information: spatial resolution on various length scales within the surface layer and also sufficient depth resolution so that one can observe the transition from very surface layer to bulk structure in the polymer blend coated on silicon substrate. Herein laser scanning confocal microscopy is used to evaluate the resist for the first time. We report the use of the confocal microscopy to map the pag/dye distribution in PHS matrices, with both reflectance images and fluorescence images. A laser beam is focused onto a small 3D volume element, termed a voxel. It is typically 200 nm X 200 nm laterally and 800 nm axially. The illuminated voxel is viewed such that only signals emanating from this voxel are detected, i.e., signal from outside the probed voxel is not detected. By adjusting the vertical position of the laser focal point, the voxel can be moved to the designated lateral plane to produce an image. Contrast caused by topology difference between the exposed and unexposed area can be eliminated. Bis-p-butylphenyl iodonium triflat (7% of polyhydroxystyrene) is used as photoacid generators. 5% - 18% (by weight, PHS Mn equals 13 k) resist in PGMEA solution is spin cast onto the treated quartz disk with thickness of 1.4 micrometers , 5 micrometers space/10 micrometers pitch chrome mask is used to generate the pattern with mercury DUV illumination. Fluoresceinamine, the pH-sensitive dye, is also used to enhance the contrast of fluorescence image. The typical PEB temperature is 90 degree(s)C for 90 seconds. 488 nm is used as the excitation wavelength. Both reflectance and fluorescence images (> 510 nm) are processed by using Adobe Photoshop. It was found that the reflectance is more sensitive to the change of the refractive index of the resist while the fluorescence is more sensitive to the distribution of the PAG/dye. The NIH Image software is used for acid exchange rate calculation. Second Fick's Law is applied to analyze the image change. The diffusion coefficient for this PAG in PHS during PEB is smaller than 8.8 X 10-13 cm2/s.
Top surface imaging provides one process alternative for 193 nm lithography and is an important aspect of the EUV imaging strategy. This paper describes an effort to characterize the fundamental mechanisms underlying the silylation process. The extent of silylation has been measured as a function of exposure dose using FTIR spectroscopy. These studies demonstrate that for certain polymers, the extent of silylation has a nonlinear dependence on exposure dose. Simulation modeling has demonstrated how this nonlinearity may contribute to improved contrast. The influence of resist optical density on the shape of the silylated image has also been simulated, and these results have helped guide the design of polymers with the optimal optical density. The TSI processes function on the basis of photogenerated differences in the reactive transport of the silylating agent into and through the resist film. We are exploring alternative mechanisms for photo-induced changes in the permeability of the silylating agent. The results of these studies will be presented.
KEYWORDS: Etching, Polymers, Reactive ion etching, Oxides, Photoresist materials, Photoresist processing, Carbon, Resistance, Chemical reactions, Control systems
We have examined the reactive ion etch (RIE) resistance of two families of 193 nm photoresist candidates, poly(methacrylates) and vinyl-polymerized poly(cyclic olefins), in three RIE processes. Correlation of these measurements to polymer structure and composition using known methods (Ohnishi and Ring Parameter fits) was moderately successful in demonstrating global trends but proved generally inadequate for providing quantitative predictions. To address this shortcoming, we have developed a new empirical structural parameter which provides a much more precise model for predicting RIE rates within a given family of polymers. The model is applicable across polymer platforms, with two caveats: (1) The methacrylate and cyclic olefin families examined to date fall on essentially parallel, offset curves when examined with the new model, (2) The offset between polymer family curves is RIE tool- and process-dependent. While these caveats imply a setback to the idea of a truly `universal' model, they may in fact represent a powerful and unanticipated feature; the model appears to separate chemical RIE processes which affect individual functionalities within a polymer from predominantly polymer-family dependent processes such as global backbone degradation. In the course of conducting these studies, we have encountered several potential pitfalls in the measurement of etch rates. These illustrate the complex nature of plasma: resist interactions and highlight the careful experimental design and controls that are required if meaningful RIE rate comparisons between polymer and resist families are desired.
A new, simple, highly sensitive, and reliable technique has been developed for measuring acid concentration in solutions and in thin polymer films. This technique is applicable to the study of photoacid generation in chemically amplified resist systems. It employs fluorescent, acid sensitive compounds such as N-(9-acrydinyl)acetamide which undergo large changes in fluorescence as a function of degree of protonation. We have used this technique to quantify the amount of acid generated as a function of 193 nm exposure dose in alicyclic polymer matrices containing photoacid generators such as triphenylsulfonium hexafluoroantimonate. We are continuing to explore the general utility of this new analytical technique which allows the study of diffusional processes and the determination of quantum efficiencies in thin polymer films of the kind used in deep-UV (KrF at 248 nm, ArF at 193 nm) lithography. Such data are of importance in the modeling of chemically amplified resist systems.
This paper reports our work on a series of alicyclic polymer-based photoresist platforms designed for 193 nm lithography. The polymers described here were prepared from derivatives of norbornene and appropriate co-monomers by either free radical or ring opening metathesis polymerization methods. A variety of techniques were explored as a means of enhancing the lithographic, optical, dissolution, and mechanical properties of photoresists formulated from these alicyclic polymers. Recent studies designed to improve the lithographic performance of photoresists formulated with these materials are described.
This paper presents the progress we have made toward the development of fully water processable, negative and positive tone I-line resist systems. The negative tone system is based on styrene copolymers bearing pendant ammonium sulfonate groups and vicinal diol functionalities. The salt provides the means of rendering the polymer water soluble. The diol undergoes an acid catalyzed pinacol rearrangement that results in a polarity switch within the exposed polymer film, i.e. a solubility differential. The styrene backbone was chosen to provide dry etch resistance. Positive tone imaging requires two solubility switches. The two solubility switches are based on the reaction between acidic hydroxyl groups in a matrix polymer and vinyl ethers that are introduced as a pendant group of the polymer or as a monomeric cross-linker, i.e. a bisvinyl ether. During the post application bake, the vinyl ether reacts with an acidic hydroxyl group in a thermally activated switch, forming a crosslinked, water insoluble network through acetal linkages. These acid labile crosslink sites are then cleaved by a photochemical switch through the generation of acid, thereby rendering the exposed areas water developable.
We have designed and synthesized a series of novel non-acrylic nortricyclene polymer and copolymers containing various structural units for use in both 193 and 248 nm lithography. These polymers and copolymers are prepared using a free- radical cyclopolymerization process that is very versatile and allows use of a great variety of comonomers. The resulting materials exhibit outstanding dry-etch resistance, good adhesion to silicon, good transparency at 193 nm and, unlike many poly(norbornenes), they are not contaminated by metal catalysts. In preliminary 193 nm testing a resist formulated with a suitable photoacid generator afforded alkali-developed positive-tone images with sub-0.15 micrometer L/S resolution. Although a large array of copolymers are possible due to the ease with which the free-radical copolymerization reaction proceeds, copolymers based exclusively on functionalized norbornadiene and maleic anhydride-derived components have shown great promise. In particular, it is possible to tune their properties through simple variations in structure and composition.
New series of chemically amplified, single layer, positive tone photoresists for 193 nm lithography have been developed. These resists were formulated from a series of cycloaliphatic co- and terpolymers of 2-methyl propyl bicyclo(2.2.1)hept-2- ene-5-carboxylate (carbo-tert-butoxynorbornene), bicyclo(2.2.1)hept-2-ene carboxylic acid (norbornene carboxylic acid), 8-methyl-8-carboxy tetracyclo(4,4,0.12,5,17,10)dodec-3-ene (methyltetracyclododecene carboxylic acid), norbornenemethanol, and maleic anhydride, which were synthesized by free radical, vinyl addition and ring opening metathesis polymerization techniques. The polymers derived from ring opening metathesis polymerization have bee successfully hydrogenated to provide yet another member of this group of materials. The cycloaliphatic polymer backbones provide etch resistance, mechanical properties and stability to radiation. The lithographic function is provided by carefully tailored pendant groups, which include an acid functionality that is masked by protecting groups that undergo acid catalyzed thermolysis as well as polar groups that influence the adhesion, wetability and dissolution properties of the polymer. The polymers are soluble in common organic solvents and have glass transition temperatures ranging from less than 60 degrees Celsius to higher than 250 degrees Celsius depending on their specific structure and mode of polymerization. They are at least as transparent at 193 nm as the corresponding acrylics. Their dry etch resistance varies with the formulation, but the base polymers etch more slowly than novolac under conditions typically used to pattern polysilicon. Upon exposure and baking, the resists have demonstrated high sensitivities (9-25 mJ/cm2), and 0.16 micrometer features have bean resolved.
Several applications of Fourier transform IR spectroscopy (FTIR) for the characterization of photoresist thin films are demonstrated. The applications are accurate resist thickness measurements, monitoring of solvent loss during the post-apply-bake, determination of the glass transition temperature, and deprotection reaction kinetics. Model based, spectral analysis is applied for the determination of photoresist thickness from mid-FTIR spectra and is shown to have linear correlation to measurements with UV-visible spectroscopic ellipsometry. Using this capability in conjunction with an external reflection accessory and rapid data acquisition hardware and software, measurements are performed on Shipley SPR-510L photoresist during the post apply bake step, deriving thickness and solvent loss information. The use of this approach is also explored for making glass transition measurements of an environmentally stable chemical amplification positive resist photoresist. Finally, in-situ PEB studies are illustrated for APEX-E photoresist. For off-line analysis, an in-sample compartment mapping accessories is applied to the characterization of multiple open frame exposure matrices on 200 mm double-side polished wafers.
In order to perform 0.2 micrometer processes, one needs to study the diffusion of photoacid generators within the photoresist system, since diffusion during post exposure bake time has an influence on the critical dimension (CD). We have developed a new method to study the diffusion of photoacid generators within a polymer film. This new method is based on monitoring the change of the fluorescence intensity of a pH- sensitive fluorescent dye caused by the reaction with photoacid. A simplified version of this experiment has been conducted by introducing acid vapor to quench the fluorescence intensity of this pH sensor. A thin polymer film is spin cast onto the sensor to create a barrier to the acid diffusion process. During the acid diffusion process, the fluorescence intensity of this pH sensor is measured in situ, using excitation and emission wavelengths at 466 nm and 516 nm, respectively. Fluoresceinamine, the pH sensitive fluorescent dye, is covalently bonded onto the treated quartz substrate to form a single dye layer. Poly(hydroxystyrene) (Mn equals 13k, Tg equals 180 degrees Celsius) in PGMEA (5% - 18% by weight) is spin cast onto this quartz substrate to form films with varying thickness. The soft bake time is 60 seconds at 90 degrees Celsius and a typical film has a thickness of 1.4 micrometers. Trifluoroacetic acid is introduced into a small chamber while the fluorescence from this quartz window is observed. Our study focuses on finding the diffusion constant of the vaporized acid (trifluoroacetic acid) in the poly(hydroxystyrene) polymer film. By applying the Fick's second law, (It - Io)/(I(infinity ) - Io) equals erfc [L/(Dt)1/2] is obtained. The change of fluorescence intensity with respect to the diffusion time is monitored. The above equation is used for the data analysis, where L represents the film thickness and t represents the average time for the acid to diffuse through the film. The diffusion constant is calculated to be at the order of 10-10 cm2/s to 10-12 cm2/s. All the experiments are conducted at room temperature and are valid only for acid vapor. With different film thickness, it was found that the acid diffuses through the film with a similar diffusion constant. The diffusion is faster with increased solvent residue in the film (controlled by spin coating speed). The theoretical computer modeling of the local acid concentration with respect to acid diffusion is also performed.
DUV positive acting chemically amplified resists are imaged by exposure to light, to generate acid, followed by exposure to heat, to activate acid catalytic deprotection of the resin. There are four mechanisms for imaging the photoresist polymer. The mechanism that dominates depends on the temperature range being examined. The lowest temperature region is effectively non-catalytic. The next three regions are catalytic. The lithography for each one of these regions is unique. In the lowest temperature catalytic region, the isolated line features require more exposure than grouped lines to attain target sizing. In the next higher temperature region, the relative sizing for the two types of lines are reversed. The highest temperature region is a result of thermal degradation of the polymer. This paper reviews experimental isolated line to grouped line bias dependence on PEB temperature for an acid catalyzed resist; and then, using experimentally determined modeling parameters, and the lithographic simulator, PROLITH/2, interprets this phenomena to be a result of the degree of acid diffusion within each catalytic region.
Chemically amplified (CA) resists are expected to provide the majority of the lithography capability for 0.25 micron feature sizes. The sensitivity of CA resists to processing conditions makes implementation of a resist system very dependent on the tool set (stepper, track, etc.) used. Modeling of these systems can be useful in optimizing a process for a particular tool set. However, the modeling parameters are also sensitive to the target tool set. Variations in dose calibration between different steppers, the differing temperature ramps found in contact and proximity bakes, and batch to batch variations between resist materials are examples why resist model parameters require calibration to each tool set. An ideal calibration procedure would entail in-situ measurement techniques at each processing step. The techniques would analytically determine chemical, physical, and kinetic quantities relevant to the resist system and processing conditions. Methods previously used have included interferometric measurement of photobleaching, FTIR measurement of the deprotection extent, in-situ DRM measurements, etc. Unfortunately, few if any fabs are equipped with the necessary in-situ techniques for complete model calibration.
Chemical changes within a resist material (for example, resulting from the exposure and subsequent chemical reactions during post exposure bake) will in general, result in a change in diffusivity of components within that material. In the case of positive chemically amplified resists, the diffusivity of the photo-generated acid changes as a function of the extent of polymer deprotection. The deprotection reaction leads to the generation of small reaction product molecules, some of which are volatile. The liberation of these reaction products causes an increase in the free volume and changes in the chemical behavior in the exposed area. These changes, primarily the increase in free volume, results in an increase in the diffusivity of the acid. Low exposure areas have lower acid diffusivity, leading to a lower efficiency of reaction. This results in a contrast enhancement of the latent image due to the concentration dependent diffusivity of the acid. In this paper, a concentration dependent diffusivity expression is incorporated into a lithography simulator to explore these effects on lithographic performance. Using the assumption of free volume, suitable expressions for the diffusivity are examined and compared to experimentally measured values. The experimental work consists of XP-9402 positive acting, chemically amplified resist that was imaged using different thermal doses.
Improvements in modeling of chemically amplified resists are necessary to increase the capability of doing `What if' simulations and to help interpret experimental data. One method to minimize the difference between modeled and experimental results is to use an underlying database of experimentally determined bulk dissolution rates as the source of the input parameters for the imaging engine of the lithographic model. In this paper, a R(E,z) to R(m,z) converter is discussed. The converter takes into account the amplification factor, kinetic effects and acid loss. The underlying data consist of a positive acting chemically amplified resist, XP-9402, that was processed using various post exposure bake conditions. With conversion to R(m,z), the energy of activation and Arrhenius coefficient for both the deprotection reaction and acid loss, the rate of photoacid formation, C, the chemical amplification factor for a given thermal dose and the ratio of deprotection rate constant to acid loss rate constant can be determined. These parameters are then used in the lithographic simulator PROLITH/2 version 4.1a. Results are used to understand lithographic results for photoresist that had been processed at different temperatures.
In analogy to the linear optical method optical rotatory dispersion (ORD) which is sensitive to chirality, a surface second harmonic generation (SHG) experiment with plane polarized light is conducted to study a monolayer of chiral molecules at various interfaces. The R- and S- enantiomers of 2,2'-dihydroxy- 1,1'-binaphthyl (BN) adsorbed at the air/water, air/quartz, and liquid/liquid interfaces are studied. Using p-polarized fundamental radiation, the polarization of the SHG signal is rotated by a value (Phi) according to the surface chirality. The rotations are large: tens of degrees from a monolayer of material. R-BN and S-BN give (Phi) values of the same magnitude but opposite signs. The orientation, spectral and concentration dependences of the SHG rotation are explained in terms of the electric dipole-allowed second order nonlinear tensor. The experiments show that while SHG-ORD has origins quite distinct from ordinary ORD, it can be utilized to measure chiral structures of surfaces.
We explore the link between the surface sensitive spectroscopic method, second harmonic generation (SHG), and a popular linear optical method, circular dichroism spectroscopy (CD), in order to study chiral structures within monolayers. The experiment involves utilizing circularly polarized light as an excitation source in the usual reflection SHG geometry. We show that circular dichroic information is conveyed through the SHG process. SHG-CD was used to study the adsorption of R-2,2'-dihydroxy-1,1'-binaphthyl (R-BN) at the air/fused quartz and air/water interfaces. The SHG-CD spectra of R-BN show a strong preference for left-circularly polarized light over right-circularly polarized light for adsorption at both the air/glass and air/water interfaces. The magnitude of the preference is 103 times larger than that observed in ordinary CD spectroscopy, and is attributed to the fact that the species are oriented at the interfaces.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.