In this paper we will present initial results for logic and memory features imaged with the TWINSCAN EXE:5000 at the ASML-imec high NA lab after successful etch pattern transfer. For logic applications random logic metal designs (consisting of tight pitches and aggressive tip-to-tips) and corresponding via structures have been characterized for A14 and A10 nodes. As well, bidirectional designs enabled by high NA will be described. For memory applications, results from BLP/SNLP layer for D1d and D0a nodes will be presented.
The 10 nm technology class DRAM devices have already advanced with different patterning schemes using EUV [1-3]. Such efforts rely heavily on the choice of the underlayers, resist and the source-mask optimized (SMO) illumination mode. In this work, these concepts were explored in a single mask solution to pattern 42 nm pitch, Local Interconnect and periphery landing pad (LILP). To provide a more industry relevant solution, the use of Chemically Amplified Resists(CARs) has been adopted to pattern pillars and line/space (LS) patterns simultaneously. In addition, the following parameters have been evaluated to achieve the best printability of the two types of structures: (i) CARs tailored for high and low dose process (CAR-A and CAR-B), (ii) different underlayers (UL0, UL1, UL2), (iii) post exposure bake (PEB) conditions to determine the effect of dose-to-size and impact on the local CD uniformity (LCDU) in pillars and line width roughness (LWR) for LS. The performance comparison of different process options was done based on roughness/LCDU and dose-to-size (D-t-s).
This paper is organized as follows:
1. Experimental Method- Different combination of underlayers and resist screening using a single EUV source and mask. Optimization of the mask CDs and the overlapping process performance of pillars and LS based on the metrology inspection.
2. Underlayer performance- Choice of the underlayer based on printability performance and roughness/LCDU for a fixed resist coated on different underlayers.
3. Resist performance- Defect-free process window (PW) evaluation with different CAR coated on the best performing underlayer.
To continue the future of dynamic random-access memory (DRAM) manufacturing with EUV and high NA EUV, alternative techniques for nanofabrication are required to reduce the cost and simplify the processes. In this report, we present the results of the development of a single mask solution with 0.33NA EUV lithography for two important layers, bit-line-periphery (BLP) and storage-node-landing-pad (SNLP), in DRAM manufacturing. The methodology has been established for our examination and assessment of the process window (PW) of the critical dimensions (CD) and the defectivity of the SNLP and BLP layers. Based on this methodology, a pitch 34nm DRAM has been optimized with the spin-on metal oxide resist (MOR) and dark field of a binary mask. We obtained the large overlapping PW of CDs (with a depth of focus of 119nm and an exposure latitude of 25% at a dose-to-size of 89.4mJ cm-2) in the free-defect ranges (20mJ cm-2). We achieved around ~22% dose reduction using the same processes with spin-on MOR applied to the new design of a low-n mask. We observed a pitch of 32nm SNLP and BLP with a single mask layer due to a low-n mask. Additionally, the process window discovery (PWD) methodology for defect inspection in the large area of SNLP and BLP shows good progress which can be applied for optimized conditions. We believe that our results show the resolution limit of 0.33NA lithography for the single mask print SNLP-BLP and 0.55NA EUV is needed for the next generations of DRAM.
In this paper, we share some early results on using EUV to pattern the Storage Node Landing Pad + Bit Line Peri. We use advanced processing techniques on the track, as well as advanced machine learning-based metrology to characterize the process. We have used a MOR to pattern the SNLP+BLP layer. In Figure 1 we show a SNLP+BLP design clip and the different sources which were optimized for the different pitches as well as a schematic of the process. Optimization with freeform sources was done to improve the pattern fidelity of these complex 2D patterns. In an attempt to improve CDU performance and reduce process variability, several approaches were investigated using SCREEN’s DT-3000 track. Amongst these approaches, a novel hotplate technology incorporating multi-zone temperature control was extensively explored during the PEB process, to deliver ultimate CD stability. SEM images acquired were denoised with advanced algorithms to better understand minute variations in pattern fidelity.
Among several critical layers of DRAM (dynamic random-access memory), capacitor holes of honeycomb arrays and bit-line-periphery (BLP) with Storage Node Landing Pad (SNLP) are the most critical layers in terms of patterning difficulty level. The honeycomb array hole layer has the highest density among various hole array types, and it is a complex lithography step since this layer is key in determining the performance of the DRAM. BLP with SNLP includes hole type and bi-directional line/space (L/S) design, and industry is considering a single exposure solution, compared to a three-mask solution using ArF immersion [1]. This BLP layer of 10nm DRAM has 2 different types of pattern topologies, hole array and bi-direction line/space: it is a very challenging single exposure level. In this paper, we discuss patterning challenges that come as consequences of industry trends in DRAM cell size reduction [2,3]. To keep up with this trend and to propose a single mask solution for bit-line-periphery, storage node landing pads and aggressive cell array pitches are considered along with resolution enhancement techniques (RET) for high-NA anamorphic EUV (NA=0.55) lithography. This study uses computational lithography such as source mask optimization (SMO) to find optimal off-axis illumination and optimal placement of sub-resolution assist features (SRAF) on the mask whilst considering the manufacturing rules checks (MRC constraints) for anamorphic EUV masks. In order to achieve that, a screening Design Technology Co-optimization (DTCO) experiment is done. The purpose is to identify cell array pitches in between 24nm and 32nm which satisfy both scaling requirements and patterning fidelity, preferred orientation of layout, and mask biasing scheme for various cell arrays. Lithography metrics like common depth of focus (cDoF), exposure latitude (EL), image contrast, and image log slope (ILS) are used to decide what is optimal way to expose on wafer. For the sake of completeness of the study, mask materials are compared. Indeed, in EUV domain there is interest to use alternative mask absorbers like Ruthenium alloys as an alternative to Tantalum-based absorbers [4,5,6].
This paper presents a feasibility study on patterning the critical layers of Bit-Line Periphery (BLP) and Storage Node Landing Pad (SNLP) for advanced 10nm node DRAM with sub-40nm pitch using a single EUV patterning. Source Mask Optimization (SMO) and aerial image-based Optical Proximity Correction (OPC) were initially conducted to classify image data and identify potential weak points of the primary patterning mask. A secondary patterning mask was then produced based on the resist model and design split using the obtained data on the primary mask to address these issues. Results obtained through PV-band and intensity analysis of each area in simulation, as well as ADI and AEI (After Etch Inspection) using photoresists with 2 kinds of different tones (PTD CAR and Spin-on MOR PR), demonstrated the feasibility of patterning BLP and SNLP with a single EUV mask. Additionally, Process Window Discovery (PWD) wafers were fabricated to analyze and review process margins and potential weak points through KLA inspection for systematic patterning defectivity. Furthermore, our experiments confirmed that the performance of EUV patterning with DRAM BLP/SNLP layer can be expected to improve by reducing the dose (in mJ/cm2) by approximately 30% using a secondary mask by retarget bias split and resist model OPC.
As the industry continues to scale DRAM cell size, EUV lithography techniques have been considered in one or multiple steps. We have explored a single mask solution to pattern the bit-line-periphery (BLP) and the storage node landing pad (SNLP). Normally, for such varied types of structures as honeycomb arrays, SWD, S/A and Core, multiple masks are required. In this paper, we have explored a single EUV mask approach. First, a freeform EUV light source (in the source mask optimization, or SMO, process) was generated targeting a 36nm pitch honeycomb array and BLP structures. Then, curvilinear optical proximity correction (OPC) was applied to the target design (as shown in Figure 1) such that the performance meets qualified process window variation bands (PVBs) with proper curvilinear mask rule check (MRC). It is important to note that only an optical model was used for SMO and OPC without a resist model in this task. For the wafer process, we have used a dark field mask and metal oxide resist (MOR) photoresist and negative tone development (NTD). This was followed by transferring the pattern into a suitable hardmask for optical defect characterization using the KLA broadband plasma (BBP) 29xx tool as shown in Figure 2. Process window characterization was done to discover a unified defect-free window for both honeycomb array and BLP structures.
The use of a 4F2 cell configuration which enables higher densification is common in emerging memory devices. The pitch scaling and the robustness of these devices mainly rely on the patterning of the orthogonal array vertical pillar process. In this paper, we screen several lithography process approaches to optimize the 40nm pitch pillar patterning using single exposure EUV (extreme ultraviolet) lithography. The results show that with the optimized 40nm pitch process roughly 0.6nm 3-Sigma WCDU (wafer critical dimension uniformity) and 1.4nm 3-Sigma LCDU (local critical dimension uniformity) can be obtained post-litho for 21.1nm mean CD (critical dimension). Post-etch patterning with the best process shows 1.8nm 3-Sigma WCDU and 1.3nm 3-Sigma LCDU at 17.2nm mean CD. Smaller pitches have also been explored to identify the limits of the single EUV lithography process. Structures at 34nm pitch have shown high amount of pillar collapse. For 36nm pitch, on the other hand, a reasonable litho performance could be obtained with slightly boosted CD. The post-litho results show that with the optimized 36nm pitch process 0.4nm 3-Sigma WCDU and 1.4nm 3-Sigma LCDU can be obtained for 19.1nm mean CD.
The new generation of 10nm node DRAM devices have now adopted EUV based patterning techniques. With further shrink in design rules, single exposure EUV processes will be pushed further using advanced photoresists and new mask types. However, in absence of high NA EUV lithography ready for high volume manufacturing (HVM) until at least 2025, acceptable local CD (critical dimensions) uniformity and yielding process windows at low exposure dose are a challenge for single exposure EUV. Further, for EUV implementation in sub-32nm pitch DRAM capacitator patterning applications, multi-patterning techniques must be explored. In this paper, EUV based double-patterning techniques have been demonstrated to pattern honeycomb array contact holes and pillars. The processing utilizes two EUV masks, using simple angled line space patterns. We have explored two different types of double patterning options: litho-freeze-litho-etch (LFLE) to pattern contact holes and litho-etch-lithoetch (LELE) to pattern pillars. In the absence of high NA EUV, these processing techniques are useful to pattern tight pitch (e.g., 32nm) contact holes/pillars for newer generations of DRAM devices. Another key objective of this paper is to present a set of metrology characterization methods to enable proper process optimizations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.