To extend directed self-assembly (DSA) of poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for higher resolution, placement accuracy and potentially improved pattern line edge roughness (LER), we have developed a next-generation material platform of organic high-χ block copolymers (“HC series”, AZEMBLYTM EXP PME-3000 series). The new material platform has a built-in orientation control mechanism which enables block copolymer domains to vertically selforient without topcoat/additive or delicate solvent vapor annealing. Furthermore, sub-10 nm lines and spaces (L/S) patterning by two major chemoepitaxy DSA, LiNe and SMARTTM processes, was successfully implemented on 12” wafer substrates by using the PME-3000 lamellar series. The results revealed that the new material platform is compatible with the existing PS-b-PMMA-based chemical prepatterns and standard protocols. We also introduced the built-in orientation control strategy to the conventional PS-b-PMMA system, producing a new generation of PS-b-PMMA materials with facile orientation control. The modified PS-b-PMMA (m-PS-b-PMMA) performed LiNe flow DSA yielding a comparable CD process window with improved LER/LWR/SWR after the L/S patterns were transferred into a Si substrate.
High-defect density in thermodynamics driven directed self-assembly (DSA) flows has been a major cause of concern for a while and several questions have been raised about the relevance of DSA in high-volume manufacturing. The major questions raised in this regard are: (1) What is the intrinsic level of DSA-induced defects? (2) Can we isolate the DSA-induced defects from the other processes-induced defects? (3) How much do the DSA materials contribute to the final defectivity and can this be controlled? (4) How can we understand the root causes of the DSA-induced defects and their kinetics of annihilation? (5) Can we have block copolymer anneal durations that are compatible with standard CMOS fabrication techniques (in the range of minutes) with low-defect levels? We address these important questions and identify the issues and the level of control needed to achieve a stable DSA defect performance.
Directed self-assembly (DSA) of block copolymers (BCP) is attracting a growing amount of interest as a technique to expand traditional lithography beyond its current limits. It has recently been demonstrated that chemoepitaxy can be used to successfully direct BCP assembly to form large arrays of high-density features using the ‘LiNe’ flow. This process uses lithography and trim-etch to produce a “prepattern” of stripes of alternating chemical composition, which in turn guide the formation of assembled BCP structures. The entire process is predicated on the preferential interaction of the respective BCP domains with particular regions of the underlying prepattern. The natural and relative strength of these interactions are at least partially responsible for many aspects of the resulting assembled BCP film, including equilibrium morphology, type and persistence of kinetically trapped defects, and domain roughness. This study develops the understanding of how various guiding chemistries ultimately govern BCP morphology and characteristics in the LiNe flow. In particular, the work focuses on how stronger affinity between chemical patterns and the guided BCP film leads to faster assembly, lower ultimate defectivity levels, and better incommensurability tolerance, as well as the relationship between pattern strength and domain roughness. One issue in generating finely controllable chemical patterns is that all materials are affected to some degree by processing, which can modify or weaken the guiding ability of the pattern. This investigation addresses the non-idealities introduced in production processing and explores how this knowledge can be employed in improving BCP DSA for lithography.
To extend scaling beyond poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for directed self-assembly (DSA), high quality organic high-x block copolymers (HC series) were developed and applied to implementation of sub-10 nm L/S DSA. Lamellae-forming block copolymers (BCPs) of the HC series showed the ability to form vertically oriented polymer domains conveniently with the in-house PS-r-PMMA underlayers (AZEMBLY EXP NLD series) without the use of an additional topcoat. The orientation control was achieved with low bake temperatures (≤200 °C) and short bake times (≤5 min). Also, these process-friendly materials are compatible with existing 193i-based graphoepitaxy and chemoepitaxy DSA schemes. In addition, it is notable that 8.5 nm organic lamellae domains were amenable to pattern development by simple dry etch techniques. These successful demonstrations of high-x L/S DSA on 193i-defined guiding patterns and pattern development can offer a feasible route to access sub-10 nm node patterning technology.
High defect density in thermodynamics driven DSA flows has been a major cause of concern for a while and several questions have been raised about the relevance of DSA in high volume manufacturing. The major questions raised in this regard are: 1. What is the intrinsic level of DSA-induced defects, 2. Can we isolate the DSA-induced defects from the other processes-induced defects, 3. How much do the DSA materials contribute to the final defectivity and can this be controlled, 4. How can we understand the root causes of the DSA-induced defects, their kinetics of annihilation and finally, 5. Can we have block co-polymer anneal durations that are compatible with standard CMOS fabrication techniques (in the range of minutes) with low defect levels. This manuscript addresses these important questions and identifies the issues and the level of control needed to achieve a stable DSA defect performance.
Directed self-assembly (DSA) of lamellae-forming block copolymers (BCP) via chemo-epitaxy is a potential lithographic solution to achieve patterns of dense features. Progress to date demonstrates encouraging results, but in order to better understand the role of all parameters, systematic analysis of each factor needs to be assessed. Small changes in the volume fraction of a lamellae-forming BCP have been shown to change the connectivity of unguided domains. When an asymmetric lamellae-forming BCP is assembled on chemical patterns generated with the LiNe flow, the patterning performance and defect modes change depending on whether the majority or minority volume fraction phase is guided by the chemical pattern. Asymmetric BCP formulations were generated by blending homopolymer with a symmetric BCP. The patterning performance of the BCP formulations was assessed for different pattern pitches, guide stripe widths, backfill materials and annealing times. Optical defect inspection and SEM review are used to track the majority defect mode for each formulation. Formulation-dependent trends in defect modes show the importance of optimizing the BCP formulation in order to minimize the defectivity.
Significant progresses on 300 mm wafer level DSA (Directed Self-Assembly) performance stability and pattern quality were demonstrated in recent years. DSA technology is now widely regarded as a leading complementary patterning technique for future node integrated circuit (IC) device manufacturing. We first published SMARTTM DSA flow in 2012. In 2013, we demonstrated that SMARTTM DSA pattern quality is comparable to that generated using traditional multiple patterning technique for pattern uniformity on a 300 mm wafer. In addition, we also demonstrated that less than 1.5 nm/3σ LER (line edge roughness) for 16 nm half pitch DSA line/space pattern is achievable through SMARTTM DSA process. In this publication, we will report impacts on SMARTTM DSA performances of key pre-pattern features and processing conditions. 300mm wafer performance process window, CD uniformity and pattern LER/LWR after etching transfer into carbon-hard mask will be discussed as well.
One of the major challenges associated with insertion of a directed self-assembly (DSA) patterning process in high volume manufacturing (HVM) is finding a non-destructive, yield-compatible, consistent critical dimension (CD) metrology process. Current CD scanning electron microscopy (CD-SEM) top-down approaches do not give the profile information for DSA patterns, which is paramount in determining the subsequent pattern transfer process (etch, for example). SEMATECH, in cooperation with some of the leaders of the metrology and DSA materials supply chain, has led an effort to address such metrology challenges in DSA. We have developed and evaluated several techniques (including a scatterometry-based method) that are potentially very attractive in determining DSA pattern profiles and have embedded bridging in such patterns without resorting to destructive cross-section imaging. We show how such processes could be fine-tuned to enable their insertion for DSA pattern characterization in an HVM environment.
KEYWORDS: Semiconducting wafers, Line edge roughness, Line width roughness, Edge roughness, Etching, Critical dimension metrology, Finite element methods, Process control, Chemical analysis, Directed self assembly
Directed self-assembly (DSA) applying chemical epitaxy is one of the promising lithographic solutions for next generation semiconductor device manufacturing. We introduced Fingerprint Edge Roughness (FER) as an index to evaluate edge roughness of non-guided lamella finger print pattern, and found its correlation with the Line Edge Roughness (LER) of the lines assembled on the chemical guiding patterns. In this work, we have evaluated both FER and LER at each process steps of the LiNe DSA flow utilizing PS-b-PMMA block copolymers (BCP) assembled on chemical template wafers fabricated with Focus Exposure Matrix (FEM). As a result, we found the followings. (1) Line widths and space distances of the DSA patterns slightly differ to each other depending on their relative position against the chemical guide patterns. Appropriate condition that all lines are in the same dimensions exists, but the condition is not always same for the spaces. (2) LER and LWR (Line Width Roughness) of DSA patterns neither depend on width nor LER of the guide patterns. (3) LWR of DSA patterns are proportional to the width roughness of fingerprint pattern. (4) FER is influenced not only by the BCP formulation, but also by its film thickness. We introduced new methods to optimize the BCP formulation and process conditions by using FER measurement and local CD valuation measurement.
Publisher’s Note: This paper, originally published on 2 April 2014, was replaced with a corrected/revised version on
14 May 2014. If you downloaded the original PDF but are unable to access the revision, please contact SPIE Digital
Library Customer Service for assistance.
Patternable dielectric materials were developed and introduced to reduce semiconductor manufacturing complexity and
cost of ownership (CoO). However, the bestowed dual functionalities of photo-imageable spin-on dielectrics (PSOD)
put great challenges on the material design and development. In this work, we investigated the combinatorial process
optimization for the negative-tone PSOD lithography by employing the Temperature Gradient Plate (TGP) technique
which significantly reduced the numbers of wafers processed and minimized the developmental time. We demonstrated
that this TGP combinatorial is very efficient at evaluating the effects and interactions of several independent variables
such as post-apply bake (PAB) and post-exposure bake (PEB). Unlike most of the conventional photoresists, PAB
turned out to have a great effect on the PSOD pattern profiles. Based on our extensive investigation, we observed great
correlation between PAB and PEB processes. In this paper, we will discuss the variation of pattern profiles as a matrix
of PAB and PEB and propose two possible cross-linking mechanisms for the PSOD materials to explain the unusual
experimental results.
KEYWORDS: Zone plates, Image resolution, Spatial resolution, Image analysis, Interference (communication), Fourier transforms, Scanning electron microscopy, Image enhancement, Electron beam lithography, Signal to noise ratio
It is important to be able to quantify the imaging performance of CD-SEMs for such purposes as verifying the specification, rechecking after a routine maintenance, or for tool matching. To perform tests such as these it is necessary to have both appropriate software for image analysis and suitable test samples. A package of 2-D Fourier transform and analysis software, designed as a plug-in for the shareware IMAGE-Java program, has been developed and is freely available on line. The requirement for a reproducible and well characterized sample has been met by using direct-write electron beam lithography to fabricate suitable Fresnel zone plate structures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.