We report the synthesis and characterization of novel block copolymer (BCP) materials for the directed self-assembly (DSA) nanolithography applications. Specifically, the poly(t-butyl acrylate) (PtBA) block in the styrenic block copolymers have been chemically modified to a fluorinated block for the enhancement of the BCP χ-parameters. dPSb- PtBA had been first synthesized by anionic polymerization to prepare a well-defined BCP precursor with narrow polydispersity for the fluorination of PtBA block. Then, the precursor BCP was chemically modified by transalcoholysis of the PtBA-block with 2,2,2-trifluoroethanol. This strategy offers the advantage of flexibility and controllability to tailor the χ-parameter, while maintaining the narrow molecular weight distribution of the BCP materials for the advanced lithography applications. The transmission electron microscopy/small angle x-ray scattering (TEM/SAXS) characterization results of the modified BCP consisting of poly(fluoroalkylate) and PS supported the development of highly ordered lamellar domains in bulk.
EUV lithographers have continued to reduce the barriers to high Volume Manufacturing (HVM) introduction. Tool, mask and photoresist manufacturers have made excellent progress on several fronts, including resolution of many EUV source related issues, resists for early imaging characterization, and defect inspection tooling. In this discussion, we will focus on photoresist development. For many years, the team at SUNY Polytechnic Institute (SUNY Poly) has provided results from a neutral photoresist benchmarking study, which has been quite useful in establishing the limits of currently available photoresist systems [1-5]. New photoresist systems are being developed with improving resolution, but they also have lower coated thicknesses. In an effort to continue to point out potential lithographic problem areas, SUNY Poly has been evaluating the ‘etch compatibility’ of the best performing photoresists available in order to determine if the decreasing aspect ratios would prove a detriment to etch performance. In this paper, we will show data from our most recent benchmark study. We will also include smoothing process results, as well as some post-etch results obtained using the NXE:3300B resident on the SUNY Poly campus.
Directed self-assembly (DSA) is a potential patterning solution for future generations of integrated circuits. Its main advantages are high pattern resolution (∼10 nm), high throughput, no requirement of high-resolution mask, and compatibility with standard fab-equipment and processes. The application of Mueller matrix (MM) spectroscopic ellipsometry-based scatterometry to optically characterize DSA patterned contact hole structures fabricated with phase-separated polystyrene-b-polymethylmethacrylate (PS-b-PMMA) is described. A regression-based approach is used to calculate the guide critical dimension (CD), DSA CD, height of the PS column, thicknesses of underlying layers, and contact edge roughness of the post PMMA etch DSA contact hole sample. Scanning electron microscopy and imaging analysis is conducted as a comparative metric for scatterometry. In addition, optical model-based simulations are used to investigate MM elements’ sensitivity to various DSA-based contact hole structures, predict sensitivity to dimensional changes, and its limits to characterize DSA-induced defects, such as hole placement inaccuracy, missing vias, and profile inaccuracy of the PMMA cylinder.
Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high
sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the
patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on
their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron
withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic
ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of
ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of
ligands. The mixture of nanoparticles showed improved pattern quality.
The introduction of EUV lithography to manufacturing requires the development of both new EUV exposure tools and
photoresists. The main challenges for photoresists are to achieve high resolution, and low roughness patterning at very
high sensitivity given the limited intensity of current sources. A new class of photoresist formed from ligand-stabilized
metal oxide nanoparticles shows extraordinary sensitivity for EUV lithography. These nanoparticles are processed in
traditional organic solvents for both deposition and development as negative tone resist; positive tone images are
possible if the aqueous base developer is used in addition to a post-exposure bake step. This paper presents new
developments in the study of ligand-stabilized nanoparticle photoresists for EUV lithography.
It is our current understanding that a key aspect of the solubility change of these photoresists during exposure involves
ligand displacement by anions generated from photoactive compounds such as sulfonic acid photoacid generators. Both
positive and negative tone patterning are possible and depend on thermal treatment history and choice of developer. On
the basis of a non-chemically amplified ligand exchange mechanism, new resist structures were created. Both aromatic
and aliphatic carboxylic acids with different functional groups have been studied in the formation of the nanoparticles
and include dimethylacrylic acid, isobutyric acid, toluic acid. It has been shown that those nanoparticles with higher
binding affinity ligands show better resolution and line edge roughness under EUV exposure. Some formulations
demonstrate EUV sensitivity as high as 1.4 mJ/cm2, while other formulations demonstrate that improved LER values of
3-5nm. The overall resolution, sensitivity and roughness tradeoff has been evaluated and provides an understanding of
structure - property relationships. In this paper, we also discuss major efforts on the further understanding of the
patterning mechanism. By testing the dissolution rate and plotting it in Hansen interaction triangles, we can compare the
differences between different formulations and choose a suitable developer for each formulation. We also used the
dissolution rate study to confirm the important role of PAG and ligand exchange for pattern formation. In addition,
aspects of the EHS properties of these new photoresists have been investigated and will be discussed.
Recently there has been a great deal of effort focused on increasing EUV scanner source power; which is correlated to increased wafer throughput of production systems. Another way of increasing throughput would be to increase the photospeed of the photoresist used. However increasing the photospeed without improving the overall lithographic performance, such as local critical dimension uniformity (L-CDU) and process window, does not deliver the overall improvements required for a high volume manufacturing (HVM). This paper continues a discussion started in prior publications [Ref 3,4,6], which focused on using readily available process tooling (currently in use for 193 nm double patterning applications) and the existing EUV photoresists to increase photospeed (lower dose requirement) for line and space applications. Techniques to improve L-CDU for contact hole applications will also be described.
Many different types of non-traditional resist designs have shown promise for future generations of patterning, but there is a greater need for understanding and developing additives and ancillary materials for these novel resists compared to traditional polymeric positive tone systems which are quite mature. With the goal of meeting some of these needs, we carried out multiple different studies of negative tone molecular resists based on epoxide cross-linking. We have developed methods for controlling cross-linking in these materials using photo-decomposable nucleophiles (PDNs) which have shown resolution improvements in one resist from 26 nm down to 18 nm so far. Aqueous base developed systems have been made by introducing phenol groups to these resists. Although the first molecule designed successfully patterns in aqueous base, its performance is limited compared to organic solvent development. A series of di-functional epoxide molecular resists have been made and generally show much worse sensitivity than a fourfunctional epoxide resist. Underlayers (ULs) have been designed specifically to leverage the high reactivity of epoxides to create ULs that can cross-link to the resist. These ULs improve the adhesion of some of these molecular resists, but also show significant sensitivity improvements compared to imaging on bare silicon. Sensitivity in one resist was improved by 5-12 mJ/cm2 simply by using the UL.
This work focuses on the investigation of dual tone patterning mechanism with hybrid inorganic/organic photoresists.
Hafnium oxide (HfO2) modified with acrylic acid was prepared and the influence of electrolyte solutions as well as pH on its
particle size change was investigated. The average particle size and zeta potential of the nanoparticles in different electrolyte
solutions were measured. The results show that addition of different concentrations of electrolytes changed the
hydrodynamic diameter of nanoparticles in water. Increased concentration of tetramethyl ammonium hydroxide (TMAH)
caused the zeta potential of nanoparticles to change from positive to negative and its hydrodynamic diameter to increase from
40 nm to 165 nm. In addition, increasing concentration of triflic acid led to the decrease of particle size and zeta potential.
A series of five negative tone epoxide functionalized molecular resists have been synthesized and have had their glass transition temperature (Tg) and lithographic contrast behavior characterized. Introducing rigid structural features in the form of aromatic rings to a resist was found to increase its glass transition temperature. All resists but one, BHPF-2Ep, were found to have poor film stability which required the use of an underlayer. A trend was observed where PEB conditions performed at temperatures much higher than the Tg of the molecular resist was found to induce propagation of polymerization outside of exposed regions. Di-functionalized resists were observed to have poor sensitivity due to their low degree of functionalization. A resist was synthesized (BHPF-2Ep) which was capable of resolving features down to 20 nm with an imaging dose of 70.5 mJ/cm2.
A negative tone, aqueous base developable molecular glass resist, 3Ep, is presented that is developable in both standard organic solvents and aqueous base developers. The resist shows slightly better imaging performance in organic solvent versus aqueous base and shows a shift of E0 away from zero dose. Compared to a previously reported 4Ep resist, 3Ep appears to have a more controlled polymerization rate at equivalent conditions, which results in higher-quality patterned features. 3Ep also requires use of an underlayer to avoid de-wetting during aqueous base development.
Simulations of Mueller matrix spectroscopic ellipsometry (MMSE) based scatterometry are used to predict sensitivity to dimensional changes and defects in directed self-assembly (DSA) patterned contact hole structures fabricated with phase-separated polystyrene-b-polymethylmethacrylate (PS-b-PMMA) before and after etch. The optical signature of Mueller matrix (MM) elements has a complex dependence on the structure topography and orientation, depolarization, and optical properties of the materials associated with the surface and any underlying layers. Moreover, the symmetry properties associated with MM elements provide an excellent means of measuring and understanding the topography of periodic nanostructures. A forward problem approach to scatterometry or optical model based simulations is used to investigate MMSE sensitivity to various DSA based contact hole structures and its limits to characterize DSA induced defects such as hole placement inaccuracy, missing vias, profile inaccuracy of the PMMA cylinder, and process induced defects such as presence of residual PMMA after etching.
EUV lithography is needed by the semiconductor industry for both its resolution and for the process simplification it provides compared to multiple patterning. However it needs innovations to make it a success. One area where innovation is needed is resist performance. Resists that are commercially available for EUV use are typically based on conventional chemically amplified resist chemistry. So far, this has not provided the required performance at fast enough photo speed. Many innovative resist systems have been introduced in the last few years that have novel mechanisms and/or incorporate novel chemical elements with high EUV absorbance. These new systems are promising enough for EUV use that work on many of them now needs to shift to characterizing their functional parameters and optimizing their performance. For the future, new systems beyond these will have to focus on reducing the inherent noise in resist imaging. The concept of pixelated resists is introduced and it is suggested pixelated resists are one possible avenue for imaging sub 10nm features with sufficient feature size and profile control.
In this paper, we present the first results of witness sample based outgas resist family test to improve the efficiency of outgas testing using EUV resists that have shown proven imaging performance. The concept of resist family testing is to characterize the boundary conditions of outgassing scale from three major components for each resist family. This achievement can significantly reduce the cost and improve the resist outgas learning cycle. We also report the imaging performance and outgas test results of state of the art resists and discuss the consequence of the resist development with recent change of resist outgassing specifications. Three chemically amplified resists selected from higher outgassing materials are investigated, but no significant improvement in resist performance is observed.
As EUV lithography moves toward high-volume manufacturing (HVM), a key need for
the lithography materials makers is access to EUV photons and imaging.
The SEMATECH Resist Materials Development Center (RMDC) provided a solution path
by enabling the Resist and Materials companies to work together (using SUNY Polytechnic
Institute’s Colleges of Nanoscale Science and Engineering (SUNY Poly CNSE) -based
exposure systems), in a consortium fashion, in order to address the need for EUV photons.
Thousands of wafers have been processed by the RMDC (leveraging the SUNY Poly
CNSE/SEMATECH MET, SUNY Poly CNSE Alpha Demo Tool (ADT) and the SEMATECH
Lawrence Berkeley MET) allowing many of the questions associated with EUV materials
development to be answered. In this regard the activities associated with the RMDC are
continuing.
As the major Integrated Device Manufacturers (IDMs) have continued to purchase EUV
scanners, Materials companies must now provide scanner based test data that characterizes the
lithography materials they are producing. SUNY Poly CNSE and SEMATECH have partnered
to evolve the RMDC into “The Patterning Center of Excellence (CoE)”. The new CoE
leverages the capability of the SUNY Poly CNSE-based full field ASML 3300 EUV scanner
and combines that capability with EUV Microexposure (MET) systems resident in the
SEMATECH RMDC to create an integrated lithography model which will allow materials
companies to advance materials development in ways not previously possible.
With current progress in exposure source power, novel resist materials, and post processing techniques, EUV is getting closer to the production environment. As reported continuously, SEMATECH established cycles of learning program. The data generated from the program has been utilized to measure current state of the art of EUV photoresist for production or pilot line use. Thanks to SEMATECH core and associate members’ attention to the project, numerous EUV samples have been tested and they were based on the best performing EUV resists from associate members. This year we completed the evaluations for under-layers, lines and spaces, and contact holes. We also applied track based techniques to drive both low line edge roughness control and enlarge the process window with techniques such as FIRMTM and track based smoothing process. In this paper we will discuss about the results from cycles of learning test and show post-processing results of the three best line and space resists when combined with different FIRMTM materials.
We have shown that the dissolution properties can be successfully modified to improve the line/space profile and LWR of a low diffusion EUV CA resist. The surface roughness is a function of hot spots in the nominally unexposed regions of the resist material. We conjecture that the photoacid hot spots are formed due to DC flare present in the optical train of the exposure system. We also have shown that the PAGs can be further improved for out-of-band radiation (OOB) response. The improvement can be as much as 557% for 193nm exposure, and 838% by 248nm exposure. The improved OOB response leads to better contact hole performance. We also shared our continued improvement in resist witness plate performance with the majority of our resists passing for carbon growth, and all samples passing for non-cleanables. There does appear to be a site-to-site bias which we attribute to differences between e-beam and EUV exposure and/or substrate working distance from the source. Lastly, we show outstanding lithographic process window for 24 nm contact arrays on an NXE 3300 stepper as well as 15 nm half pitch lines and spaces on the PSI interferometric tool.
The minimum target specificatons of EUV resist material are the resolution < 30nm half pitch C/H, CDU < 3.0nm, and sensitivity < 20mJ. The major pending issue of EUV resist is how to simultaneously achieve high sensitivity, high resolution and low CD Uniformity (CDU). Thus, we have studied that which factors such as acid diffusion, solvents, polymer platform and film density etc are affecting to improve CDU, sensitivity and resolution. Especially, CDU and sensitivity are the main issues among above these performances. With the results of these experiments, we could determine polymer blend PAG as polymer platform for EUV resist material. We have also researched polymer to improve the sensitivity and CDU with variation of molecular weight, poly dispersity and monomer feed ratio. Additionally, we have studied the effects of resist solvents and film density. And we have measured the outgas of our EUV resist. In this paper, we will discuss the results of these studies obtained by EUV tools of SEMATECH.
A resist imaging design that utilizes photoacid inhibition of cationic polymerization and cross-linking during a postexposure
bake step has been studied. The key to the design approach is the use of two different polymerization
catalysts/initiators: (1) a photoacid produced from a photoacid generator (PAG) upon exposure of the resist that can
result in polymerization and cross-linking of the resist matrix and (2) a thermal cross-linking catalyst (TCC) designed to
thermally catalyze epoxide-phenol cross-linking. The TCC can be chosen from a variety of compounds such as
triphenylphosphine (TPP) or imidazole. When only one of these catalysts (e.g TPP or photoacid) is present in an
epoxide and phenol containing resist matrix, it will individually catalyze cross-linking. When they are present together,
they effectively quench one another and little to no cross-linking occurs. This approach can be used to switch the tone of
a resist from negative (photoacid catalyzed) to positive (TCC catalyzed and photoacid inhibited). The effect of the ratio
of TCC:PAG was examined and the optimal ratio for positive tone behavior was determined. Resist contrast can be
modified by optimization of epoxide:phenol ratio in the formulation. Dual tone behavior with positive tone at low dose
and negative tone at higher doses can be observed in certain formulation conditions. Initial EUV patterning shows poor
results, but the source of the poor imaging is not yet understood.
KEYWORDS: Line edge roughness, Polymerization, Optical lithography, Extreme ultraviolet, Molecules, Deep ultraviolet, Polymers, Diffusion, Glasses, Control systems
Negative tone resists based on cross-linking via epoxide/cationic polymerization have a variety of potential advantages
over more traditional positive tone resists based on photoacid catalyzed deprotection including low outgassing, intrinsic
diffusion control, and improved pattern collapse performance through the higher modulus provided by a cross-linked
network. Based on the promising baseline performance achieved previously in simple negative tone systems composed
only of an epoxide functionalized molecular glass and a photoacid generator, a series of different methods and additives
that can be used to control the extent and rate of cross-linking in such systems have been developed and are reported here
which allow for even further improvement in resist performance. Simple addition of base quencher, as is used in
conventional chemically amplified resists, is ineffective in these systems because the patterning reaction mechanism is
different. Any control method must work by modifying the extent and rate of cationic polymerization of epoxides. By
adding molecules containing phenolic OH groups to such an epoxide resist, one can slow the extent of cross-linking due
to introduction of an additional reaction pathway and often a concomitant increase in the resist resin glass transition
temperature. Generalized additives similar to base quencher were also developed based on the addition of strong
nucleophiles such as triphenylphosphine which act essentially as chain termination agents. This approach allows for
improved resolution and LER in negative tone epoxide resist systems. A more superior additive was developed that can
be described as a photodecomposable nucleophile (PDN). The unexposed PDN acts similarly to the strong nucleophile
additives in that it terminates chain propagation. Upon exposure, the PDN can act like a chain transfer agent or an
additional initiator, but no longer has the effect of completely terminating chain propagation. This approach allows for
high levels of control in the nominally unexposed regions of the resist, but maintains high efficiency of cross-linking in
the most highly exposed regions. One particular implementation of a PDN used in this study is the blending of a PAG
(i.e. triphenylsulfonium triflate, TPS-Tf) with a more nucleophilic anion that plays the role of a PDN, with the common
and highly effective, non-nucleophilic PAG that is conventionally used in epoxide photopolymerizations (i.e.
triphenylsulfonium hexafluoroantimonate, TPS-SbF6). Addition of only a few percent of TPS-Tf to a baseline epoxide
resist formulation shows a 5-10 nm improvement in ultimate resolution and a reduction in LER to around 65% as
compared to the baseline resist without the PDN additive while only incurring a moderate increase in imaging dose. By
modulating the amount of the different polymerization control additives, the performance of a particular epoxide resist
was improved from a resolution of greater than 30 nm half pitch and an LER of around 9 nm to a resolution of ~20 nm
half pitch, with an LER of around 4 nm, and a sensitivity of 18 mJ/cm2. By increasing the additive loading even further,
the resolution was improved to ~18 nm half pitch, although with an increase in imaging dose to 39 mJ/cm2.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.