In this paper, we describe an integrated design space analysis approach consisting of full factorial layout
generation, lithography simulations with added proximity effects, and rigorous statistical analysis through monte-carlo
simulations which is used in the evaluating interconnects. This agile Design rule development process provides a quick
turnaround time to down-select the potential layout configurations that can offer a competitive, robust and reliable
design and manufacturing. Further layout and placement optimization is carried out to evaluate intra-cell, inter-cell and
cell boundary situations, which are critical for a place and routed block. These interconnects developed using the
integrated approach has been the key contributor to give 20-30% higher performance at the same Iddq leakage for 8T
libraries compared to Single Diffusion break or Double Diffusion break based 12T libraries in 22FDX Technology.
The ability to extend 193 nm lithography resolution depends on increasing the numerical aperture (NA) of the exposure system, resulting in smaller depth of focus, which subsequently requires use of thinner photoresists. Bottom antireflective coatings (BARCs) are a necessity, but the organic composition of current 193 nm BARCs offers poor etch selectivity to the photoresist. As a result, image transfer with thin resists is becoming increasingly difficult. It is also more challenging to control reflectivity at high numerical apertures with a thin, single layer BARC.
To address these issues, IBM has developed a new class of silicon containing BARCs. These materials exhibit high etch selectivity that will significantly improve the performance of high NA 193 nm lithography. The incorporation of silicon in the backbone of the polymers comprising these BARCS affords a high etch selectivity to conventional organic resists and therefore these polymers can be used as thick planarizing BARCs. The optical constants of these BARCs have been tuned to provide good reflectivity control at NA > 1.2 These materials can also be used as part of a dual layer BARC scheme composed of the thin organosilicon based BARC coated over a planarizing organic underlayer. This scheme has also been optically tuned to provide reflectivity suppression at high incident angles. By utilizing a thick BARC, a novel contact hole shrink process is enabled that allows tapering of the sidewall angle and controlling the post-etch critical dimension (CD) bias. Structures of the silicon containing polymer, formulation chemistry, optical tunability, lithography at high NA and RIE pattern transfer are reported.
While evaluating 193 nm, and early versions of 157 nm and EUV resists, the lithography community has focused on post-develop LER values derived from image analysis of top-down SEM micrographs. These numbers, however, do not capture the tendency of a resist to facet and roughen during plasma etching processes. They also do not convey any information about the role of the anti-reflective coatings/hard masks in the transfer of resist roughness into the underlying substrate. From a manufacturing perspective, it is the "LER" of the final etched substrate that is more important. This paper systematically studies the impact of resist polymer platform and thickness, etching conditions, and presence of organic and inorganic anti-reflective coatings/hard masks on substrate roughening. An AFM technique, previously developed by Reynolds and Taylor, is used to measure the feature sidewall roughness as a function of etch depth. This technique enables us to calculate the sidewall roughness of the resist, ARC/hard mak and substrate surfaces simultaneously, and determine correlations that may exist between these values. The paper identifies and demonstrates patterning methodologies that can be used to achieve "smooth" substrate surfaces even when the resist is "thin".
Extending 193nm lithography to well below 100nm resolution will depend on high NA tooling coupled with thin resist processing. Semiconductor manufacturing uses BARC's (Bottom Antireflective Coating) based on organic spin coatable polymers, to improve the resolution by absorbing light that otherwise will be reflected back into the resist. However, the use of organic BARC's for patterning sub 100nm features will be limited due to poor etch selectivity to the photo resist. IBM has developed a new class of polymers that can function as planarizing BARC's. These materials show an etch selectivity to the photo resist in excess of 3:1 in fluorocarbon based ARC-open RIE chemistry. The hardmask properties of these materials for oxide open are equivalent to typical resists. Furthermore these materials can be implemented like organic ARC's and are stripped in resist strips available in manufacturing. Basic materials characterization data, optical tunability, lithographic performance with different resists, process window data, and complete integration schemes will be presented.
The importance of hardmask technology is becoming increasingly evident as the demand for high-resolution imaging dictates the use of ever-thinner resist films. An appropriately designed etch resistant hardmask used in conjunction with a thin resist can provide the combined lithographic and etch performance needed for sub-100 nm device fabrication. We have developed a silicon-based, plasma-enhanced chemical vapor deposition (PECVD) prepared material that performs both as an antireflective coating (ARC) and a hardmask and thus enables the use of thin resists for device fabrication. This ARC/hardmask material offers several advantages over organic bottom antireflective coatings (BARC). These benefits include excellent tunability of the material's optical properties, which allows superior substrate reflectivity control, and high etch selectivity to resist, exceeding 2:1. In addition, this material can serve as an effective hardmask etch barrier during the plasma etching of dielectric stacks, as the underlying silicon oxide etches eight times faster than this material in typical fluorocarbon plasma. These properties enable the pattering of features in 1-2 μm dielectric stacks using thin resists, imaging that would otherwise be impossible with conventional processing. Potential extendibility of this approach to feature sizes below 100nm has been also evaluated. High resolution images as small as 50nm, have been transferred into a 300nm thick SiO2 layer by using Si ARC/hardmask material as an etch mask. Lithographic performance and etch characteristics of a thin resist process over both single layer and index-graded ARC/hardmask materials will be shown.
We have developed a novel Si-based composite thin film for attenuated phase shift mask(APSM) applications at 193/157 nm wavelength. The fabrication involved sputtering deposition, either with dual target or a single composite target. At 193 nm, these thin films show tunable optical transmission and good stability against long term radiation, common chemicals used to strip photoresist, and exhibit good dry etch selectivity to quartz. Specifically, a film with initial transmission of 5.72%,the total increase oftransmission was 0.27% for doses up to 5.4 kJ/cm2. Also, the increase of transmission was 0.19% after 60 mm of cleaning treatment in acid based solution (H2S04H20210:1 at 95°C). The dry etch selectivity over fused quartz was greater than 5:1. The transmission of the films at 193 nm can be tuned from 0 % to 20 % by varying the thin film composition, process gas flow and composition, and deposition pressure. This wide transmission window provides the possible extension down to 157 nm wavelength.
Silicon-containing bilayer thin-film imaging resists versus single layer resists for a variety of different mask types, from both a focus-expose window, etch selectivity, and process integration perspective are examined. Comparable lithographic performance is found for 248 nm single layer and bilayer resists for several mask levels including: a 135 nm dense contact/deep trench mask level, a 150 and 125 nm equal line space mask printed over trench topography, and dual damascene mask levels with both vias and line levels. The bilayer scheme is shown to significantly relax the dielectric to resist etch selectivity constraint for the case of a dense contact or trench hardmask level, where high aspect ratio dielectric features are required. Only a bilayer resist scheme in combination with a transfer etch process enables the line/space pattern transfer from the imaging layer to the bottom of a trench with a combined aspect ratio > 10. When the single layer resist depth of focus window is limited by both the topography and variations in the underlying dielectric stack thickness, as is the case for the dual damascene via and line levels, bilayer resist is shown to be a practical alternative.
Patterning sub-150 nm features in dielectric stacks using single layer resist processes in conjunction with organic anti-reflective coatings (ARCs) is becoming very difficult. Typical organic ARC-open etch processes suffer from poor ARC-to-resist selectivities (~0.7), and are accompanied by critical dimension (CD) losses. The resist remaining is often not sufficient to prevent artifacts such as substrate microrevicing during subsequent etches. PECVD-Deposited titanium nitride and silicon oxynitride films have been investigated as ARC layers but their basic nature has caused residue formation at the resist/ARC interface. We have developed a PECVD-deposited material, TERA (Tunable Etch-Resistant ARC) that acts as an ARC at 248 nm and 193 nm wavelengths and provides excellent etch selectivity to resist surpassing those attained with organic ARCs. In addition, this material demonstrates excellent hard mask properties for subsequent dielectric etch steps. The optical properties of these films can be easily tuned to minimize substrate reflectance at either imaging wavelength by controlling the precursor composition and deposition conditions. The films are compatible with 248 nm and 193 nm resists - no footing, undercut or residue is observed during patterning. The films can be etched selectively to resist (selectivity ~2.5) that translates to less resist consumption during th ARC-open etch. Compared to resists, TERA demonstrates better etch resistance while patterning dielectric stacks - the silicon oxide-to-TERA Selectivity exceeds 8. In this paper, the excellent optical tunability and substrate reflectivity control achieved with TERA are discussed. Clean lithography using 248 nm, 193 nm and e- beam resists is shown. The etch characteristics of TERA in fluorocarbon and halogen-based plasma chemistries are discussed. Finally, the formation of 135 nm and 120 nm deep trench patterns in thick dielectric stacks using TERA in conjunction with commercial 248 nm and 193 nm resists, respectively is demonstrated. The extendability of this approach to pattern silicon without roughening or microrevicing using sub-200 nm thick resists is motivated.
The introduction of 193-nm lithography is expected to provide a one-generation improvement in lithographic imaging capability. This will only happen if all of the enhancements presently being used for 248-nm lithography are also available at 193 nm. Attenuating phase shift materials have been developed by a few mask vendors for use at 193 nm. A molybdenum silicide phase shifting absorber has been developed by Hoya and evaluated by IBM and Hoya. Transmission and phase uniformity have been evaluated, and the contribution to these values from film thickness and etch variations have been identified. Plate-to-plate uniformity of phase and transmission have been measured. Durability of the film has been tested against 193-nm radiation exposure and chemical cleaning methods. Defect levels have been measured in the unprocessed film and the finished mask. The inspectability of masks made with this material has been evaluated on commercial inspection systems. The 193-nm molybdenum silicide film is compatible with etch and repair processes developed for 248-nm molybdenum silicide mask absorbers. The 193-nm molybdenum silicide film has a transmission of 6%, which is suitable for most attenuating phase shift applications. The film may be extendable to higher transmission values.
Bilayer thin film imaging is one approach to extend 248 nm optical lithography to 150 nm regime and beyond. In this paper, we report our progress in the development of a positive-tone bilayer resist system consisting of a thin silicon containing imaging layer over a recently developed crosslinked polymeric underlayer. The chemically amplified imaging layer resist is based on a novel dual-functional silicon containing monomer, tris(trimethylsilyl)silylethyl methacrylate, which in addition to providing etch resistance, also functions as the acid sensitive functionality. The stabilization of (beta) -silyl carboncation by silicon allows this moiety to serve as an acid sensitive protecting group. Thus high silicon content and high resist contrast are achieved simultaneously. Lithographic evaluation of the bilayer resist with a 0.63 NA and a 0.68 NA 248 nm exposure tool has demonstrated resolution down to 125 nm equal line/space features with a dose latitude of 16 percent and depth of focus (DOF) of 0.6 um. The dose latitude and DOF for 150 nm equal line/space features are 22 percent and 1.2 um, respectively. Finally, residue-free, ultra-high aspect ratio resist features have been obtained by O2 or O2/SO2 reactive ion etching using a high-density plasma etch system. The resist design, deprotection chemistry, lithographic and etch characteristics of the top layer, as well as the design of the new underlay, will be discussed.
The UV stability of a-C:H films was investigated by irradiating them with a 248 nm excimer laser or a DUV lamp with a 248 nm filter. Both transmittance and phase angle of the film at 248 nm were measured at different UV dose intervals up to a cumulative dose of 10,000 J/cm2. We found that film stability was dependent on processing conditions. Excellent stability was achieved when the a-C:H films were sputtered from a graphite target in a Ar/hydrocarbon process gas mixture with the substrate held at an rf bias. If no substrate bias was used, films were stable only up to a maximum of 1,000 J/cm2 for a nominal transmittance of about 6%. These instabilities were attributed to adsorption of oxygen on the film and its subsequent diffusion into the films. X-ray photoelectron spectroscopy (XPS) shows that under DUV irradiation the oxygen content increases from 13 to 29 at.% on the carbon surface. Secondary ion mass spectrometry (SIMS) shows also oxygen diffusion into the carbon film. It appears that the oxygen first removes the graphitic content since film transmittance was found to increase. It is believed that rf bias sputtering results in denser films that are more impervious to oxygen penetration and hence more durable to this UV assisted oxidative degradation process. The sputtered films with no substrate bias were found stable when irradiated in a nitrogen atmosphere.
We have designed and developed new silicon containing methacrylate monomers that can be used in bilayer resist systems. New monomers were developed because the commercially available silicon monomers were found to be unsuitable for our applications. During the course of the investigation we determined that these monomers were acid labile. We have developed a high resolution DUV bilayer resist system based on these monomers. Although most of our work was concentrated on 248 nm lithography, we have demonstrated that this chemistry can be extended to 193 nm applications.
A negative-tone bilayer thin film imaged (TFI) resist has been developed for extension of 248 nm optical lithography to sub-150 nm regime. The bilayer TFI resist system consists of a thin (0.2 um) silicon containing top imaging layer and a thick (0.7 - 0.8 um) highly absorbing organic underlayer. The chemically amplified negative-tone top layer resist comprises of three major components: an aqueous base soluble silicon containing polymer, poly(hydroxybenzylsilsesquioxane); a crosslinking agent; and a photoacid generator. The highly absorptive underlayer is a hard baked novolak resist or a DUV ARC. Imaging of the top layer resist has shown resolutions down to 137.5 nm for line/space features and 130 nm for isolated features with 248 nm exposure tools and chrome on glass masks. The O2 reactive ion etch (RIE) selectively of the top layers versus a novolak underlayer is more than 25:1 as a result of the high silicon content in the silicon containing polymer. Furthermore, residue-free and nearly vertical wall profile image transfer to the underlayer has been achieved with RIE. Application of the negative-tone bilayer resist to 150 nm Gbit DRAM critical level lithography has been demonstrated. Resist line edge roughness is also discussed.
Thin film interference plays an important role in critical dimension control of single layer resists causing large changes in the effective exposure dose due to small changes in optical phase. To overcome these problems bilayer resists have been proposed. Advantages to such systems include enhanced process latitude, enhanced resolution, and improved critical dimension control due to minimization of substrate reflectivity. In this paper, we have investigated the effects of the underlayer with respect to the optical properties as well as the chemical composition on the performance of bilayer resists for 248 nm lithography. The optimum optical constants (index of refraction n((lambda) ) and extinction coefficient k((lambda) )) of the underlayer were deduced by simulations. It was also found that with some underlayers, the optical properties could be tuned by controlling the processing conditions. Novolaks have been found to interact with the resist resulting in significant residue limiting the resolution of the 248 nm bilayer resist to 150 nm. Properly designing the underlayer with suitable optical constants and preventing resist/underlayer interaction resulted in 125 nm resolution with a 248 nm bilayer resist. We also investigated the use of an amorphous diamond-like carbon film as an underlayer material. Thin films, deposited by plasma enhanced chemical vapor deposition, offers advantages over spin on hard baked polymers because it can be deposited conformally with high optical purity. Furthermore, the composition and optical properties can be fine-tuned by changing the process parameters.
The optical properties of an amorphous hydrogenated carbon film utilized as an attenuated phase shifter were characterized using a n and k Analyzer. This novel instrument computes univocal values of the index of refraction n((lambda) ), extinction coefficient k((lambda) ), and film thickness from a reflectance or reflectance/transmittance single scan covering 190 nm to 900 nm. By fitting the scanned curves, values of k as a function of wavelength or energy can be calculated and then the index n is computed by using Kramers-Kronig equations. Since the n and k Analyzer calculates n, k, and thickness from a single reflectance scan, phase angles can be easily calculated at any given wavelength between 900 and 190 nm. To test the accuracy of this instrument we have compared phase angles obtained by using the n and k Analyzer against laser interferometry at 257 nm. In this technique direct phase measurements are obtained by comparing the difference in the optical path of the beams going through the quartz and the film/quartz structure. The agreement between the two techniques was very good to within 103 degrees for eight of the nine samples analyzed. Interferometer phase errors are conservatively estimated to be around +/- 3 degrees. This includes noise levels as well as day to day variations. The agreement between the two techniques resides within the experimental errors. Thus, this analyzer can give phase angle maps of a blank film on quartz substrates in a relatively short time and nondestructively.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.