Source mask optimization (SMO) and double patterning technology (DPT) are considered key Resolution Enhancement
Technique (RET) enablers for scaling 2x nodes and beyond design rules, using existing 193 nm ArF technology prior to
EUV availability. SMO has been extensively shown to enlarge the process margin for critical layers in memory cells
and test patterns; however the best SMO flow for a large random logic area up to full-chip application has been less
explored. In this study, we investigated how the mask complexity in the source optimization impacts the final process
window on a random logic layout after DPT, and proposed a new source optimization approach.
Example used is a contact layer for 2x logic designs. The SMO source optimization is performed using the SRAM cells
with different mask complexities. These optimized sources are then evaluated based on a large-area random logic layout
after mask-only optimization. CD variation through process window is used as the metric for comparison. We found the
best result is obtained when the source is optimized with the full flexibility of the source and mask with freeform
SRAFs and minimal MRC constraints. The source optimized with this approach can reduce CD variation through
process window in the random logic without increasing its mask complexity.
This paper reports on a simulation study in which we compare different possibilities to find a litho solution for SRAM
and Logic for planar technology nodes between 28 nm and 20 nm, using 193 nm immersion lithography. At these nodes,
it becomes essential to include the layout itself into the optimization process. The so-called gridded layout style is an
attractive candidate to facilitate the printability of several layers, but the benefit of this style, as compared to less
restricted layout styles, is not well quantified for the various technology nodes of interest. We therefore compare it with
two other, less restricted, layout styles, on an identical (small) SRAM-Logic test chip. Exploring a number of paths in the
layout-style - litho-options search space, we try to quantify merits and trade-offs for some of the relevant options. We
will show that layout restrictions are really becoming mandatory for the technology nodes studied in this paper. Other
important enablers for these aggressive nodes are multiple patterning, the use of a local-interconnect layer, negative-tone
development, SMO and the use of optimized free-form illumination sources (from which we also include a few initial
wafer results).
For 45 nm node and beyond, the alternating phase-shift mask (alt. PSM), one of the most expected resolution
enhancement technologies (RET) because of its high image contrast and small mask error enhancement factor (MEEF),
and the binary mask (BIM) attract attention. Reducing CD and registration errors and defect are their critical issues. As
the solution, the new blank for alt. PSM and BIM is developed. The top film of new blank is thin Cr, and the antireflection
film and shielding film composed of MoSi are deposited under the Cr film.
The mask CD performance is evaluated for through pitch, CD linearity, CD uniformity, global loading, resolution and
pattern fidelity, and the blank performance is evaluated for optical density, reflectivity, sheet resistance, flatness and
defect level. It is found that the performance of new blank is equal to or better than that of conventional blank in all
items. The mask CD performance shows significant improvement.
The lithography performance of new blank is confirmed by wafer printing and AIMS measurement. The full dry type
alt. PSM has been used as test plate, and the test results show that new blank can almost meet the specifications of pi-0
CD difference, CD uniformity and process margin for 45 nm node. Additionally, the new blank shows the better pattern
fidelity than that of conventional blank on wafer. AIMS results are almost same as wafer results except for the
narrowest pattern. Considering the result above, this new blank can reduce the mask error factors of alt. PSM and BIM
for 45 nm node and beyond.
We propose a new criterion for mask birefringence in polarized illumination. Mask birefringence is one of the
critical properties of polarized illumination, because the illumination polarization is disturbed by the birefringence of a
mask substrate. From this point of view, the allowable mask birefringence has already been analyzed. In these analyses,
only the absolute values of birefringence have been specified. As has been pointed out, the mask is a rotation retarder
for the polarized illumination. Therefore, the angle of the fast axis of mask birefringence also affects the state of
polarization.
The new criterion of mask birefringence which we propose here adopts the angle of fast axis as well as the
absolute value of birefringence. This new criterion correlates well with the printed critical dimensions (CDs). To
demonstrate this, printed CDs were calculated as a function of birefringence. A lithography simulator was used to verify
the fit of the new criterion. In this simulation, experimentally measured absolute values of birefringence and the angle
of fast axis were used. The simulation showed that there was poor correlation between printed CDs and the absolute
values of birefringence. On the other hand, the new criterion exhibited a good correlation with the printed CDs. This
difference is attributed to the effect of the angle of fast axis.
This paper presents the impact of hyper-NA (NA > 1) lithography on the specification of mask critical-dimension (CD) uniformity. In order to realize the hyper-NA lithography, it is needed to adopt new technologies such as a liquid-immersion setup and polarized light illuminator. In the immersion lithography, it has been shown that the mask CD tolerance can be relaxed if NA is increased. This relaxation originates from the increase of the exposure-latitude (EL) in defocus conditions. As has also been reported, polarized light imaging enhances the EL of line-and-space patterns. This indicates that the application of polarized light imaging may enable us to relax the mask CD tolerance. In this paper, the mask CD relaxation will be discussed based on lithography simulations. In addition, the influences of mask birefringence and state of polarization in illuminator on the wafer CD will be discussed. Quartz substrate used as a mask blank can act as a rotation retarder, because of the presence of intrinsic stress induced in manufacturing processes. Therefore, the state of polarization of mask-transmitted light is disturbed. As a result, wafer CD is affected both by the mask birefringence and state of polarization in illuminator; hence, specification of mask CD uniformity (CDU) is also influenced. In this paper, the specification of mask CDU will be discussed by taking the effect of the impact of state of polarization in illuminator and mask birefringence into account. These results accelerate the practical use of hyper-NA lithography in 45-nm node.
This paper presents the first results on mask critical-dimension (CD) specifications for the hyper-numerical aperture (hyper-NA) lithography. The mask CD specifications have been derived from experimental results applying the immersion lithography with NA being 0.85. The experiment has been performed for a hole pattern corresponding to the 65-nm node with NA = 0.75 or 0.85. From this experiment, it was found that the higher-NA condition (NA = 0.85)
makes the mask CD tolerance being more than doubled as compared to that under the lower-NA condition of NA = 0.75 while retaining the depth-of-focus (DOF) margin. This relaxation in the CD tolerance is attributable to the enlargement of DOF in the immersion lithography where the DOF becomes more than n times larger than that with the dry
lithography under the same resolution limit (n: refractive index of immersion fluid). Analyses of the mask CD tolerance have been performed by applying a newly-developed method, that enables a quantitative analysis of mask CD error and DOF margin. In addition, the mask CD error margin for the 45-nm node have also been estimated by performing a lithography simulation under conditions with NA = 1.07 and 1.20. From this simulation, it was predicted that for the
case when NA = 1.07, the mask CD error margin requires specifications on mask that are almost unachievable if one concerns the status of current mask manufacture processes together with the forecast on the processes given in the ITRS 2004 roadmap. On the other hand, the simulation predicted that the higher-NA condition (NA = 1.20) with the immersion imaging realizes a relaxation in the mask CD tolerance, leading to realistic specifications on mask. Therefore, this strategy realizes a breakthrough to avoid the "mask crises".
Parameter optimization is a key issue to develop low-k1 lithography processes, in which the number of control and error factors has been increasing. This holds especially true for alternating phase-shifting mask (alt-PSM) techniques; i.e., for this technique, not only exposure conditions but also mask structures should be optimized under various error factors (or noise factors), such as defocus, dose fluctuations, lens aberrations, mask making errors and so on. This paper describes a novel method of performing such optimization, which is developed based on a method of design of experiments (DOEs). Stabilities of target performance for various combinations of parameters are simulated by varying noise factor levels which are assigned to an orthogonal array. Optimum values of parameters are determined so as to maximize the stabilities of target performance.
This method is applied to a 45-nm node alt-PSM (alternating phase-shifting mask) technique. Optical conditions, such as NA (numerical aperture) and σ-value, and mask structures, such as trench depth and undercut size, are optimized under various noise factors by applying our method for optimization. As a result, high stability of critical dimension (CD) is obtained together with sufficient suppression of image placement errors. The optimized result is further verified by statistic calculations. Finally, we conclude that our method is a very powerful tool to simultaneously optimize lithographic conditions for low-k1 lithography processes.
Proximity electron lithography (PEL) using the ultra-thin tri-layer resist system has been successfully integrated in our dual-damascene Cu/low-k interconnects technology for the 90-nm node. Critical comparison between conventional ArF lithography and PEL as to the via-chain yield for test element groups (TEGs) including approximately 2.9 million via chains was performed to demonstrate its production feasibility.
We report the first evaluation results for the printability and detectability of mask defects on a 1x stencil mask as used for proximity electron lithography (PEL). The defect printability has been defined for the patterns after the multi-step etching process through the tri-layer resist system inherently required for the use of low-energy electrons and the substrate. According to the three-dimensional lithography simulation, this definition is preferable to the conventional one based on the resist patterns prior to the etching process in the point that smoothing effects on defects are automatically taken into account. The critical size of printable defects as defined is 22 nm for 140 nm contact holes, while the stringent value of 16 nm is predicted in the conventional definition. Also, the detectability of the printable defects has been assessed by using the transmission electron-beam (EB) inspection tool. The assessment has been performed for both programmed defects and real defects occurred in contact-hole arrays. For the programmed defects, the perfect repeatability has been demonstrated for all the defects with printable sizes. In addition, real defects with the size of 15 nm have been successfully detected in the contact-hole arrays. Therefore, this study has demonstrated the manufacturability of PEL masks from the viewpoint of defect inspection.
The lithographic performance of the low-energy electron-beam proximity-projection lithography (LEEPL) tool is demonstrated in terms of printability and overlay accuracy to establish the feasibility of proximity electron lithography (PEL) for the 65-nm technology node. The CD uniformity of 5.8 nm is achieved for the 1× stencil mask, and the mask patterns are transferred onto chemically amplified resist layers, coupled with a conformal multilayer process with the mask-error enhancement factor of nearly unity. Meanwhile, the overlay accuracy of 27.8 nm is achieved in the context of mix and match with the ArF scanner, and it is also shown that real-time correction for chip magnification, enabled by the use of die-by-die alignment and electron beam, can further reduce the error down to 21.3 nm. On the basis of the printability of programmed defects, it is shown that the most critical challenge to be solved for the application to production is the quality assurance of masks such as defect inspection and repair.
Low-energy electron-beam proximity-projection lithography (LEEPL) is considered the best candidate for the next-generation lithography (NGL) tool because a production tool will be available for 65nm-node mass production. Resolution capability has already exceeded the 65nm-node requirement and possibly also the 45nm-node requirement. Although LEEPL requires a resist less than 100nm thick to obtain the resolution, our tri-layer resist process provides the critical-dimension (CD) uniformity and dry-etching resistance necessary for fabricating 90nm-node via holes. As regards an overlay, a LEEPL tool aligned to an under layer printed by an ArF scanner attained 21.3nm (three sigma) overlay error, which exceeds the requirement for the 65nm node. Another concern with LEEPL application is mask contamination growth during exposure, however the contamination growth rate is gradual that the CD shift due to the contamination is under control. We applied LEEPL to 90nm-node via hole fabrication to examine whether it provides a higher resolution than an ArF scanner. We determined that the electrical-resistance limit for LEEPL is approximately 100nm diameter for a via hole and the limit for an ArF scanner is approximately 125nm diameter. Even without process optimization, LEEPL showed its advantages for via-hole fabrication over an ArF scanner.
Low-energy electron-beam proximity projection lithography (LEEPL) has been developed for sub-65 nm lithography. Critical dimension (CD) control of resist patterns is critical to be a production-worthy lithography technique. In this study, the LEEPL mass-production tool was used to print 180-nm-pitch contact holes in a tri-layer resist and the CD uniformity of the contact holes was analyzed to know primary issues degrading process maturity. The intra-wafer CD uniformity in an 8" wafer was 15.8 nm. Temperature fluctuation during a resist-baking process had little impact on the inter-shot CD uniformity of 3.5 nm because the CD variation was less than 0.4 nm when the baking temperature increased by 1 degree C. The CD uniformity of the 8" silicon stencil mask used in this study was 4.7 nm, which was a primary factor of the intra-shot CD uniformity of 8.8 nm. The impacts of causes of a mask error enhancement factor (MEEF) on the intra-shot CD uniformity were calculated based on the quantitative analysis of the blur of a latent image profile. The electron-optical blur caused by lens aberrations and the Coulomb effect accounted for 4.5 nm of the total uniformity, and it would be improved by 4.0 nm if there was no blur by scattering of 2 keV electrons in a 70-nm-thick resist. Although causes of residual 12.6 nm were attributed to pattern edge roughness (10.1 nm), statistical fluctuation of exposure dose (3.2 nm), and traceability of a scanning electron microscope (1.6 nm), the origin for 6.7 nm remained unknown. This unknown CD variation jumped from 2.6 nm to 6.7 nm when the CD shrank from 150 nm to 90 nm. Since the pattern edge roughness accounts for the largest portion of the CD uniformity, making the contact holes perfectly round by optimizing process conditions is most effective in improving the CD uniformity for the current LEEPL process.
The performance of the LEEPL production tool is discussed from the framework of the litho-and-mask concurrent development schemes to establish the feasibility of proximity electron lithography (PEL) especially for contact and via layers in the 65-nm technology node. The critical-dimension (CD) uniformity of 4.7 nm has been achieved for 90-nm contact holes over the 1x stencil mask. Thus, the mask patterns can be transferred onto the resist layer with CD errors of less than 10%, even if the mask-error enhancement factor (MEEF) of 1.6 is taken into account. The mask manufacturability is improved if the MEEF further decreases via the use of thinner resists. Meanwhile, the overlay accuracy of 21.1 nm has been achieved in mix-and-match with the ArF scanner, with the intra-field error of only 5.1 nm owing to the real-time correction for the mask distortion. Also, the conditions for splitting dense lines into two complementary portions have been determined to avoid the pattern collapse in wet-cleaning and drying processes. The critical length of 2 mm is fairly safe for 70-nm lines if the low-damage drying is employed. The inspection tool based on transmission electron images cannot detect all printable defects without further optimization, hence a future challenge.
We propose the efficient on-site use of a 1x stencil mask for proximity electron lithography (PEL) for controlling image placement (IP) and critical dimension (CD). It has been demonstrated that the integrated approach to the IP-error correction on the mask-fabrication level using the data manipulation and the mask-exposure level using the deflection of an electron beam (EB) can meet the requirement for the overlay accuracy in the 65-nm technology node. Also, the time-dependent variation in mask CD due to EB-assisted contamination growth can be managed by using the combination of the dose control and the periodic dry cleaning of the mask.
The critical-dimension (CD) performance and the printability of 1x stencil masks used for low-energy electron-beam proximity-projection lithography (LEEPL) have been studied by using the LEEPL β-tool. The CD uniformity and the line edge roughness on the mask are 6.0 nm and 3.5 nm in 3σ, respectively. It has been found that the fidelity of the etching process is so high that the optimization of the electron-beam writing process is critical to perforate high-quality patterns. The mask error enhancement factor evaluated over 80-100 nm lies is nearly unity, demonstrating the excellent fidelity of image transfer from the mask to a wafer. The critical defect sizes are 14.5 and 22.8 nm for the protrusions on the edges of 100-nm lines and the 150-nm contact holes respectively, implying that defect inspection is a challenge. The current achievements and the final targets in the 65-nm node are compared to assess the gap that must be bridged.
In order to solve the various problems associated with a LEEPL mask as originally demonstrated in the form of single-membrane diamond mask, we propose a new mask format termed COSMOS (complementary stencil mask on strut-supports). The COSMOS has small-area membranes with strut reinforcement and is somewhat similar to the masks used for other types of electron projection lithography (EPL). However, the exposure strategy is completely different from the other EPLs; a complete pattern image can be transcribed by overlaying complementary portions of a mask pattern via multiple exposures. The inter-membrane and intra-membrane distortions of image placement have been computed by the finite element method (FEM) simulation. It is concluded that the global distortion induced by the inversion of gravity can be corrected for by mask writing, and the intra-membrane distortion, induced by both the gravitational flexure of a membrane and the pattern density distribution, can be neglected with the membrane intrinsic stress of approximately 5 Mpa..
The design rule of the semiconductor devices is getting dramatically tighter as the progress of lithography technology. Photomask is a key factor to support the lithography technology. Defect repairing technology becomes more important than ever for keeping the photomasks' integrity in the manufacturing processes. When using conventional FIB, however, there are issues of transmission loss due to riverbed and gallium stain for opaque defect repairs as well as the problem raised by halo around repair areas for clear defect repairs. Because of these issues, it is necessary to develop the new FIB mask repairing system for 130nm node. We have been developing the new FIB mask repair system since 1998 and have been testing the repairing performance. The results were published at both PMJ2000 and BACUS2000. This time, we introduce the prototype system's outline, and report preliminary data of imaging damage and repair accuracy for the first time in public.
It is well known that focused ion beam (FIB) has been employed widely in photomask manufacturing process because the feature of this system is the high accuracy to observe small defect, to determine the repairing position, to remove opaque defect, and to deposit repairing film for clear defect. But it is required to improve the functions and the performance of the current FIB mask repair system for the next generation masks, which the smaller pattern width and the shorter lithography wavelength have been raising the pattern printability issue of the area repaired by FIB. So, the initial evaluation has been done by using the experimental machine which was remodeled the SIR series FIB photomask Repair System of Seiko Instruments Inc. The system adopts new ion beam column from which the beam size is reduced to 2/3 or less than conventional machine with the ion beam current of 15pA, FOV (field of view) of 10?pm, and the new deposition film to have thin but sharp edge. Substrate damage by scanning ion irradiation was evaluated by Aerial Image Monitoring System (MSM193 @193nm). Optical intensity is affected by the ion beam irradiation, but there is no critical issue in usual operation. The transmission loss of glass substrate is less than 50% with 5 times scan frame. Under these conditions, the ion dosage is 2.40 x 1014 [ions/cm2] for 10mm x 10mm FOV. The new deposition film was confirmed that the carbon halo was reduced, optical density was enough to shade the ArF laser, though the film thickness was decreased to 1/3 of conventional film, and the durability of the ArF laser irradiation was enough to 3 years in mass production. Wafer printability of clear and opaque defect was evaluated by using ArF scanner. No significant problem was observed. In addition to that, basic experiment of MoSi-based attenuated phase shift mask repair is demonstrated.
New ion beam column was used for mask repair. The ion irradiation was 15pA for the probe current and 31nm for the pixel size. The imaging damage was evaluated from the optical intensity value with MSM193. Optical intensity have the change within 5 percent in case of the repetition image in scanning until five times. The carbon film was formed with a new hydrocarbon gas which change into the pyrene. It is a film that the halo is small and the optical density is about three times higher. The durability to the ArF laser of the carbon film was done by method of measuring the transmittance with MPM193. The carbon film has the durability that exchange in the transmittance is within 0.3 percent by ArF laser irradiation of 30KJ cm-2. The program defects formed to the L and S pattern was repaired by these new conditions. The repaired pattern was printed with ArF scanner on the wafer. The reported pattern was not transferred defect on the wafer.
A 17% transmittance embedded attenuated phase shift mask (ESPM) has been prepared and evaluated in terms of its optical printability of 180 nm to 150 nm hole features at KrF excimer laser light. A 6% transmission ESPM has also been evaluated for comparison. Contact hole features on test reticles were written by a laser writer with the laser proximity correction (LPC). The following effects of the LPC were found: (1) The mask CD is controlled within plus or minus 20 nm from the target for both dense and isolated features. (2) The CD was shifted over -20 nm for isolated eatures. (3) An improvement in CD linearity was observed where the CD error was kept within 10 to 70 nm even when the feature size and pitch were varied. A similarity in the results by Aerial Image Measurement Software (AIMS) and the optical simulator was found especially for isolated features, and this allows us to use the optical simulator instead of AIMS for evaluating the optical properties of EPSMs in some cases. By the Log-slopes of the optical intensity profiles of 180 nm contact hole features, we found that the high transmission EPSM has better Log-slope especially for isolated features. This means that the manufacturability of 180 nm contact holes will be achieved by using the high transmission EPSM. By similar evaluation we found that good manufacturability of 150 nm contact holes will be more difficult to achieve even by using the high transmission EPSM. The variation of CD-focus curves was analyzed for isolated contact hole features using AIMS data. The high transmission EPSM improves the exposure latitude for 180 nm features even with only 20 nm 4x resizing and also for 150 nm features but cannot improve the focus latitude. The Mask Error Factor (MEF) is evaluated by using the optical simulation. It is found to range in 1.1 - 1.5.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.