NuFlare Technology, Inc. has developed the charge effect correction (CEC) system, in which the predicted placement errors caused by resist surface charge effect are compensated. Due to good reproducibility of these exposure-dose-dependent and time-dependent behaviors, CEC has successfully improved image placement accuracy in single variable-shaped electron beam mask (EBM) writers. The physical mechanism of resist charging, however, has remained unclear. Considering large difference in beam current densities between EBM and multi-beam mask writers (MBM), it is concerning that unexpected charge phenomena occur. Therefore, it is required to reveal the mechanism and to show the resist charging is still predictable in MBM by a common charging model. In order to provide validity of CEC consistently with EBM and MBM, the surface charge densities caused in EBM writers and MBM-2000 were experimentally evaluated from those placement errors. The charge densities strongly depend on the resist thickness meanwhile they do not change significantly by the writers. Furthermore, equations to reproduce those experimental results are proposed in terms of a physical model, which takes into account low-energy secondary electrons drawn to resist surface by an already-existing surface charge and vertical flow of the charge in the resist.
The high-throughput EBM-mask writer, EBM-8000P has been developed for mature node mask market. The EBM-8000P inherits basic architectures from the previous EBM-8000 system, i.e. electron optics with 50kV acceleration voltage, 400A/cm2 current density, variable shaped beam (VSB), and also, user interface such as JOB control system, mask handling system, which are equivalent to our latest single electron beam mask writers.
The EBM-8000P has two models, the EBM-8000P/H, which is equivalent to the conventional EBM-8000, and the EBM-8000P/M, which aims for high throughput.
The mask-writing throughput depends largely on the beam shot size and the current density, based on the generation of mask nodes. The EBM-8000P/M achieves high throughput and enough accuracy for 45-20 node by enlarging the maximum shot size while maintaining a current density of 400A/cm2.
Therefore, it is possible to achieve throughput that is 1.5 to 2 times faster than the conventional 70A/cm2 mask writer (such as EBM-6000) which is for 45-20nm node.
A multi-beam mask writer MBM-1000 is developed for the N5 semiconductor production. It is designed to accomplish high resolution with 10-nm beam and high throughput with the 300-Gbps blanking aperture array and inline corrections. It inherits dose correction functions for secondary dose from scattered electron which has been used with single variable shaped beam (VSB) writers with full compatibility. It also has new corrections in scale shorter than 10 um to cope with electron backscattering occurring with EUV substrates and CD bias from resist process. To improve patterning resolution, pixel level dose correction (PLDC) is implemented to correct and enhance profile of dose deposited in resist. Writing test with pCAR showed that PLDC solves breakage and loss of assist patterns without affecting shape and size of main patterns.
The multibeam mask writer MBM-1000 is developed for semiconductor production for the 5-nm technology node. It is designed to accomplish high patterning resolution with a 10-nm beam and high throughput with blanking aperture array supporting data transfer rate of 300 Gbps and an inline real-time data path. It has better beam resolution than the EBM-9500 and has higher throughput at a shot count of more than 500 Gshot/pass. To further improve patterning resolution, pixel-level dose correction (PLDC) is implemented to MBM-1000. It enhances dose contrast by dose modulation pixel by pixel. Correction efficiency of PLDC is evaluated for linearity correction by simulation with a threshold dose model. It is concluded that PLDC corrects critical dimension linearity even without extra dose modulation and improves dose margin with additional dose modulation of 140%.
Multi-beam mask writer MBM-1000 is developed for N5 semiconductor production. It is designed to accomplish high resolution with 10-nm beam and high throughput with 300-Gbps blanking aperture array (BAA) and inline real-time data path. It has better beam resolution than EBM-9500 and has higher throughput at shot count more than 500 Gshot/pass. To further improve patterning resolution, pixel level dose correction (PLDC) is implemented to MBM-1000. It performs dose contrast enhancement by dose modulation pixel by pixel. Correction efficiency of PLDC is evaluated for linearity correction by simulation with threshold dose model. It is concluded that PLDC corrects linearity efficiently even without extra dose modulation, and improves dose margin with additional dose modulation of 140%.
Multi-beam mask writer MBM-1000 is developed for N5 semiconductor production. It is designed to accomplish high resolution with 10-nm beam and high throughput with 300-Gbps blanking aperture array (BAA) and inline real-time data path. It has better beam resolution than EBM-9500 and has higher throughput at shot count more than 500 Gshot/pass. To further improve patterning resolution, pixel level dose correction (PLDC) is implemented to MBM-1000. It performs dose contrast enhancement by dose modulation pixel by pixel. Correction efficiency of PLDC is evaluated for linearity correction by simulation with threshold dose model. It is concluded that PLDC corrects linearity efficiently even without extra dose modulation, and improves dose margin with additional dose modulation of 140%.
Multi-beam mask writer MBM-1000 is developed for N5. It is designed to accomplish higher throughput than a singlebeam
VSB writer EBM-9500 at shot count higher than 500 G/pass, and write masks with low sensitivity resist to have
better CDU and patterning resolution. Product version of blanking aperture array (BAA) for MBM-1000 is fabricated
along with data transfer system to accomplish data rate of 300 Gbps. They have been integrated with writing control
software based on MBF format, a tool-specific format which handles any-angle pattern and polygon patterns. Writing
test without re-adjustment of beam current showed that exposure time control by BAA blanking is very stable, and linear
CD drift is less than 0.1 nm for 10 hours. Complex OPC pattern and ring pattern were printed on low-sensitivity pCAR
resist and showed good resolution to resolve 25 nm isolated line.
Multi-beam mask writer MBM-1000 will be released in Q4 2017 for N5 semiconductor production. The motivation to go to multi-beam is high throughput at aggressive shot count. MBM-1000 performs better than EBM-9500, which is our latest VSB writer, at shot count of 500 G/pass or more because of exposure count and beam current independent to figure count. Key technology for high throughput is cathode and high-voltage power supply which provides large beam emission current, inline data path and blanking aperture array (BAA) with 300 Gbps data ratio. In this paper, design of data path and BAA for MBM-1000 are described.
Multi-beam mask writer MBM-1000 will be released in Q4 2017 for N5 semiconductor production. Performance of
MBM-1000 is under verification and tuning by using alpha tool upgraded to high-volume manufacturing (HVM) system.
It is designed to realize better resolution and higher throughput than EBM-9500, our latest variable-shaped-beam writer,
at shot count higher than 500 Gshot/pass. Writing test after upgrade confirmed that MBM-1000 has better beam
resolution than EBM-9500 as expected by optics design. It also showed that position of beam array projected on target
was stable during one hour writing enough to accomplish registration target. Design of data transfer system and BAA
for 300-Gbps data rate is described.
In the half pitch (hp) 16nm generation, the shot count on a mask is expected to become bipolar. The multi-patterning
technology in lithography seems to maintain the shot count around 300G shots instead of increase in the number of
masks needed for one layer. However, as a result of mask multiplication, the better positional accuracy would be
required especially in Mask-to-Mask overlay. On the other hand, in complex OPC, the shot count on a mask is expected
to exceed 1T shots.
In addition, regardless of the shot count forecast, the resist sensitivity needs to be lower to reduce the shot noise effect so
as to get better LER. In other words, slow resist would appear on main stream, in near future. Hence, such trend would
result in longer write time than that of the previous generations. At the same time, most mask makers request masks to
be written within 24 hours. Thus, a faster mask writer with better writing accuracy than those of previous generations is
needed.
With this background, a new electron beam mask writing system, EBM- 9000, has been developed to satisfy such
requirements of the hp 16nm generation. The development of EBM-9000 has focused on improving throughput for
larger shot counts and improving the writing accuracy.
EBM-9000 equipped with new features such as new electron optics, high current density (800A/cm2) and high speed deflection control has been developed for the 11nm technology node(tn) (half pitch (hp) 16nm). Also in parallel of aggressive introduction of new technologies, EBM-9000 inherits the 50kV variable shaped electron beam / vector scan architecture, continuous stage motion and VSB-12 data format handling from the preceding EBM series to maintain high reliability accepted by many customers. This paper will report our technical challenges and results obtained through the development.
KEYWORDS: Photomasks, Lithography, Amplifiers, Data storage servers, Mask making, Immersion lithography, Data conversion, Extreme ultraviolet lithography, Data processing, Data corrections
Many lithography candidates, such as ArF immersion lithography with double-patterning/double-exposure techniques,
EUV lithography and nano-imprint lithography, show promising capability for 22-nm half-pitch generation lithography.
ArF immersion lithography with double-patterning/double-exposure techniques remains the leading choice as other
techniques still lack the conclusive evidence as the practical solution for actual production. Each of the prospective
lithography techniques at 22-nm half-pitch generation requires masks with improved accuracy and increased complexity.
We have developed a new electron beam mask writer, EBM-8000, as the tool for mask production of 22-nm half-pitch
generation and for mask development of 16nm half-pitch generation, which is necessary for the practical application of
these promising lithography technologies.
The development of EBM-8000 was focused on increasing throughput and improving beam positioning accuracy. Three
new major features of the tool are: new electron gun with higher brightness to achieve current density of 400 A/cm2,
high speed DAC amplifier to accurately position the beam with shorter settling time, and additional temperature control
to reduce the beam drift.
The improved image placement accuracy and repeatability, and higher throughput of EBM-8000 have been confirmed
by actual writing tests with our in-house tool.
Optical lithography is facing resolution limit. To overcome this issue, highly complicated patterns with high data volume
are being adopted for optical mask fabrications. With this background, new electron beam mask writing system, EBM-
7000 is developed to satisfy requirements of hp 32nm generation. Electron optical system with low aberrations is
developed to resolve finer patterns like 30nm L/S. In addition, high current density of 200 A/cm2 is realized to avoid
writing time increase. In data path, distributed processing system is newly built to handle large amounts of data
efficiently. The data processing speed of 500MB/s, fast enough to process all the necessary data within exposure time in
parallel for hp32nm generation, is achieved. And this also makes it possible to handle such large volume dense data as
2G shots/mm2 local pattern density.
In this paper, system configuration of EBM-7000 with accuracy data obtained are presented.
Semiconductor scaling is expected to continue to hp32nm and beyond, accompanied by explosive data volume
expansion. Required minimum feature size at hp 32nm will be less than 50nm on the mask, according to ITRS2007(1).
EBM 7000 is a newly designed mask writer for the hp32 nm node with an improved electron optical column providing
the beam resolution (10 nm measured in situ) and beam current density (200 A/cm2) necessary for cost effective mask
production at hp32nm node. In this paper we report on column improvements, the in situ beam blur measurement
method and writing results from EBM 7000. Written patterns show dose margin (CD change [nm] / 1 % dose change) of
.94 nm /1 % dose for line/space arrays using chemically amplified resist PRL009 and our standard processing. Using a
simple model to relate the measured beam intensity distribution to the measured dose margin, we infer an effective total
blur of 30 nm, dominated by a contribution of 28 nm from the resist exposure and development process. Further
evidence of the dominance of the process contribution is the measured improvement in dose margin to .64 nm/% dose
obtained by modifying our standard process. Even larger process improvements will be needed for successful fabrication
of hp22nm masks.
In order to comply with the demanding technology requirements for 45 nm half pitch (HP) node (32 nm technology
node), Nuflare Technology Inc. (NFT) has developed Electron-beam mask writing equipment, EBM-6000, with
increased current density (70A/cm2), while its other primary features basically remain unchanged, namely 50 kV
acceleration voltage, Variable Shaped Beam (VSB)/vector scan, like its predecessors [1-5]. In addition, new
functionalities and capabilities such as astigmatism correction in subfield, optimized variable stage speed control,
electron gun with multiple cathodes (Turret electron gun), and optimized data handling system have been
employed to improve writing accuracy, throughput, and up-time. VSB-12 is the standard input data format for
EBM-6000, and as optional features to be selected by users, direct input function for VSB-11 and CREF-flatpoly
are offered as well.
In this paper, the new features and capabilities of EBM-6000 together with supporting technologies are reported to
solidly prove the viability of EBM-6000 for 45 nm HP node.
KEYWORDS: Photomasks, Line edge roughness, Error analysis, Electron beams, Manufacturing, Data conversion, Electron beam melting, Optical proximity correction, Electro optical systems
EBM-5000 equipped with the new feature of high current density (50A/cm2) has been developed for 45 nm technology node (half pitch (hp) 65 nm). EBM-5000 adopts 50 kV variable shaped electron beam (VSB)/vector scan architecture and continuous motion stage, following the steps of preceding EBM series. In addition to the high current density, new technologies such as high resolution electron optics, finer increment for beam position and exposure time control, and new data format "VSB-12" to handle large data volume have been introduced on EBM-5000. These new technologies address two conflicting issues: improvement of throughput and better accuracy. This paper will report the key challenging technologies, certain results of EBM-5000 operation and findings obtained through our development efforts that can be applied to future generation tools. The fundamental local CD uniformity (LCDU) limit is also discussed.
A high accuracy electron beam writing system EBM-3500 has been developed for 130 nm node lithography technology. The EBM-3500 is based on its predecessor EBM-3000 system and incorporates new features to improve writing accuracies. Based on the extensive error analyses of the EBM-3000, several important improvements in such areas as ground noise and stray magnetic field reductions, among others, have been made. Thanks to these improvements, EBM-3500 achieves high accuracies to satisfy the present and future technology requirements.
Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.
We have newly designed and constructed a unique electron optical column installed with an in-situ cleaning system, applying the down-flow ashing process with a mixture O2 and CF4. We carried out in-situ cleaning using designed system, and confirmed that beam drift which is caused by charging up of a contamination layer was reduced.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.