EUV lithography has been one of the key factors that enables the continuation of semiconductor scaling beyond N7. While it is a vital technique for the HVM of the most recent advanced logic and DRAM devices, the EUVL still needs more efforts in order to fully exploit its capability and extend the application. One particular aspect that has been considered as of critical importance is the optical/chemical stochastic effects which may cause L/S, contact pattern defects limiting the efficiency of EUVL. The simplest way to alleviate the stochastic effects is to employ the higher EUV exposure dose; however, this approach is impractical as it obviously leads to even lower productivity. In this work, the alternative chemicals - such as EUV PTD developer and NTD rinse which are specifically prepared to overcome the stochastic effects - are examined to enhance the performance efficiency of EUVL. The focused features that thoroughly explored are EUV dose, local CD uniformity, PR swelling, pattern collapse, and defects. It is found that, with the chemical composition modification of developer and rinse, EUV pattern fidelity can be effectively optimized resulting in extended process window and improved productivity. It is expected that this work would not only facilitate the extension of EUV application but also help understand how EUV resists behave when they are under the influence of ancillaries.
Metal oxide resists (MORs) have been becoming one of the most promising candidates that facilitates the extension of EUV single exposure by improving both lithographic resolution and etch selectivity. However, to succeed high volume manufacturing, the MORs process should be robust and persistent regardless of lithographic process fluctuation that might occur. In this work, the systematic examinations on the MORs process have been explored in order to understand the MORs patterning mechanism. We found that the ADI CD (After Development Inspection Critical Dimension) could be varied with trivial fluctuation of EUV radiation, humidity, and incomplete condensation reaction. In particular, the humidity around a coated resist was the important element that affected the condensation reaction and determined the insolubility of MORs against developer solution, which consequently defines the ADI CD. Thus, the methods that enable not only the moisture control but the sufficient condensation reaction were carefully examined. Moreover, it is investigated whether MORs can enhance further the etch selectivity while reducing the intrinsic resist defect. Several strategies have been implemented, which allow the CD variation to be reduced and the process window to be enlarged compared to the early stage MORs processes.
DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions.
This paper demonstrates a new simulation-based methodology for optimizing critical dimension (CD) bias for contact holes (CH) arrays using several different extreme ultraviolet (EUV) resists that were fully calibrated and verified with physical resist models. The bias for CH was optimized using local CD uniformity (CDU) 3-sigma as a cost function. The CD sigma variations of near-neighbor contact holes were simulated as a function of dose-to-size and mask bias, averaged over a large number of stochastic trials. There is a distinct bias for minimum CD sigma accompanied by an increase in the process window. The results are confirmed with wafer data. We will discuss the results in terms of EUV photon shot noise coupled with resist parameters. The simulation results will be used to predict a parameter space for EUV resist that can optimize line edge roughness (LER)/resolution/process window and CDU. Finally, various tradeoffs will be presented that will enable the process to perform in a high volume manufacturing environment.
Roughness control is a key technical issue in extreme ultraviolet (EUV) lithography. It applies to both line and space
(L/S) and contact hole (C/H) structures. Recently, SEMATECH and Tokyo Electron Limited (TEL) developed
several track-based techniques, including developer optimization, FIRM™ (Finishing up by Improved Rinse
Material), and smoothing to reduce structural roughness. The combination of these techniques improved line width
roughness (LWR) about 25% from the 2011 baseline of 32 nm L/S. C/H structures were also tested with the
combination process. This paper describes our latest L/S and C/H roughness performance post-lithography and postetch.
A feasibility study of negative tone develop (NTD) resists for EUV is also included.
As feature sizes continue to shrink, the need for new materials and processes becomes more urgent. In order to achieve high-resolution patterns and low line edge roughness (LER), there have been many studies on small molecular resists. In terms of processes, there have been growing interests in negative-tone development because of its better performance in printing narrow trenches and contact holes. As new patterning materials, we have synthesized inorganic nanoparticle resists that consist of a metal oxide (HfO2 or ZrO2) core surrounded by organic ligands. The inorganic core provides high etch-resistance while the organic ligands give the resists photochemical functionality. Because of their high etch-resistance, thin films of these nanoparticle photoresists are sufficient to provide good pattern transfer to the substrate and eliminate problems such as pattern collapse. Negative-tone patterning of these nanoparticle photoresists can be achieved by using an organic solvent. The small sizes (1-3nm) of these nanoparticle resists can also enable high-resolution patterning and have the potential to reduce LER. We have successfully shown negative-tone patterning of these nanoparticle resists with features as small as 30 nm using both e-beam and EUV lithography and this paper seeks to study the NTD results with different negative-tone developers.
The influence of resist formulation parameters on line width roughness (LWR) and line edge roughness (LER) were studied systematically. Studied parameters were photoacid generator (PAG) loading, blended versus polymer bound PAG type, PEB temperature, molecular weight of polymer, quencher pKb, molecular size, hydrophobicity, and acid amplifier effect. We found an optimum PAG loading point and post-exposure bake (PEB) temperature. Blending and bound-PAG types gave a similar LWR number at the optimum loading, however, bound-PAG needed much larger dose to size. There was an optimum Mw, below which gave worse LWR, and above which required a larger dose to size. , It was difficult to see a difference of LWR for different types of quencher, however, there was a weak trend of better pattern profile with less basic quenchers. The resists that had acid amplifier in them gave a worse pattern profile and LWR number. From these studies, we found that optimization of PAG loading and quencher type are very important, and baking process optimization is also very important to obtain the best LWR number.
Patterning contact hole (CH) features with good critical dimension uniformity (CDU) is one of the most critical
challenges for 10nm node lithography and beyond. Extreme ultraviolet lithography (EUVL) is considered a potential
candidate because of its better aerial imaging and larger k1 factor than ArF immersion. To apply EUV lithography to
high volume manufacturing, EUV resists must overcome both the trade-off among resolution (R), local CD uniformity
(LCDU), and sensitivity (S) at CH features and the RLS trade-off at line/space (LS) features. We evaluated various resist
materials for CH patterning applications using the microexposure tools (METs) at SEMATECH in Albany, NY, and at
Lawrence Berkeley National Laboratory. In this study, we report the correlation between the lithographic performance of
EUV resist at CH features and physical properties of chemically amplified resists (CARs) such as their dissolution
behavior, the activation energy level of the protective group, and the acidity/acid diffusion length of the photoacid
generator (PAG).
Controlling line width roughness (LWR) is a critical issue in extreme ultraviolet lithography (EUVL). High
sensitivity, high resolution, and low LWR are required for EUV lithography resist. However, simultaneously
achieving optimal properties through chemical tuning alone is difficult. The track process is one of the factors
that impacts LWR. Enhancing track processes in EUV lithography is thus critical to controlling LWR.
This paper describes an approach to mitigating LWR based on optimizing track-based and etch-based
processes. It also presents the results of our newly developed track-based smoothing process as well as the
results of combining several track-based techniques. The latest LWR performance from using track-based
techniques, optimized track processes, and etch-based techniques will be highlighted.
Relative ligand binding energies were determined for a series of common ligand types with hafnium
oxide nanoparticles, and from these results a series of novel strong binding ligands were developed. The
relative equilibrium concentrations of two competing ligands bound to the nanoparticles were measured using
nuclear magnetic resonance spectroscopy (NMR). For each ligand type, equilibrium constants and relative
binding energies were then calculated and compared. Methane sulfonic acid was found to have the strongest
binding energy, 2.0 Kcal/mol stronger than acetic acid. A group of three sulfonate ligands capable of freeradical
crosslinking were made, along with three sulfonate ligands capable of creating aqueous developable
nanoparticles. One of these ligands resulted in insoluble nanoparticles, however, the other two ligands
resulted in nanoparticles that coated well on a silicon substrate and had dissolution rates greater than 100 nm
per second.
Performance requirements for EUV resists will necessitate the development of entirely new resist platforms. As outlined
in the ITRS, the new resists for EUVL must show high etch resistance (to enable pattern transfer using thinner films),
improved LER and high sensitivity. A challenge in designing these new resists is the selection of molecular structures
that will demonstrate superior characteristics in imaging and etch performance while maintaining minimal absorbance at
EUV wavelengths. We have previously described the use of inorganic photoresists in 193 nm and e-beam lithography.
These inorganic photoresists are made of HfO2 nanoparticles and have shown etch resistance that is 25 times higher than
polymer resists. The high etch resistance of these materials allow the processing of very thin films (< 40 nm) and will
push the resolution limits below 20 nm without pattern collapse. Additionally, the small size of the nanoparticles (< 5
nm) leads to low LER while the absorbance at EUV wavelengths is low. In this presentation we show that these
inorganic resists can be applied to EUV lithography. We have successfully achieved high resolution patterning (<30 nm)
with very high sensitivity and low LER.
EUV lithography is one of the most promising technologies for the fabrication of beyond 30nm HP generation devices.
However, it is well-known that EUV lithography still has significant challenges. A great concern is the change of resist
material for EUV resist process. EUV resist material formulations will likely change from conventional-type materials.
As a result, substrate dependency needs to be understood.
TEL has reported that the simulation combined with experiments is a good way to confirm the substrate dependency. In
this work the application of HMDS treatment and SiON introduction, as an underlayer, are studied to cause a footing of
resist profile. Then, we applied this simulation technique to Samsung EUV process. We will report the benefit of this
simulation work and effect of underlayer application.
Regarding the etching process, underlayer film introduction could have significant issues because the film that should be
etched off increases. For that purpose, thinner films are better for etching. In general, thinner films may have some
coating defects. We will report the coating coverage performance and defectivity of ultra thin film coating.
Extreme ultraviolet lithography (EUVL) is the most effective way to print sub-30 nm features. The roughness of both the
resist sidewall (line width roughness [LWR]) and resist top must be overcome soon for EUVL to be implemented.
Currently, LWR can vary by about 1 nm according to the recipe used. We have characterized two promising techniques
to improve LWR, an EUV rinse/TBAH process and an implant process, and demonstrated their efficacy. After cleaning
inspection (ACI), LWR was improved with both the rinse and implant processes. After development inspection (ADI),
LWR improved (0.12 nm, 2.4%) and ACI LWR improved (0.1 nm, 2.0% improvement) after using the EUV rinse
process. ADI and ACI LWR improvement (0.45 nm, 9.1%, and 0.3 nm, 6.9%, respectively) was demonstrated with the
EUV rinse/TBAH process. ADI LWR improvement (0.5 nm, 8.1%) and ACI LWR improvement (-0.5 nm, -16.9%) were
characterized with the implant process. Critical dimension (CD) showed similar changes through pitch after the EUV
rinse or TBAH process, but the degree of change depended on the initial pattern size giving CD difference of 2 nm
between 30 nm HP and 50 nm HP after the implant process. For this technique, the dependence of CD change on pattern
size must be minimized. Further extensive studies with rinse or implant are strongly encouraged for continued LWR
improvement and real process implementation in EUVL. Demonstrating <2.2 nm LWR after pattern transfer is important
in EUVL and needs to be pursued using various technical approaches.
Initial resist LWR is important in assessing LWR improvements with additional process techniques. An initial EUV
LWR < ~5.0 nm is required to properly assess the validity of the technique. Further study is required to improve ADI
LWR and maintain better LWR after etch with advanced EUV rinse materials. Defects also need to be confirmed
following the EUV rinse and TBAH developer. Further developing the implant process should focus on LWR
improvement at low frequencies and optimization of process conditions to maintain the EUV resist profile and resist
height. The dependence of CD change on pattern size likewise needs to be minimized.
Line width roughness (LWR) control is a critical issue in extreme ultraviolet lithography (EUVL). The
difficulty of controlling LWR and the need to minimize it have grown as the sensitivity of materials and
resolution in the resist patterning process has improved. Another critical feature that has become difficult to
control in EUVL and 22nm half-pitch systems is pattern collapse. The increase of aspect ratio that comes from
further scaling promotes the onset of pattern collapse. Both pattern collapse and LWR are easily observed in
EUVL and leading-edge ArF immersion lithography.
This paper will demonstrate recent gains in LWR control in leading EUV films using track-based processes,
etch-based improvements, and the results of combined techniques. Also the use of a newly developed EUV-specific
FIRM™ rinse chemistry to reduce pattern collapse will be discussed along with future development
activities and industry requirements for both LWR and pattern collapse.
Patterning of sub-30 nm features using high resolution nano-imprint lithography (NIL) requires use of quartz
templates. To this end, various fabrication methods such as e-beam lithography, edge lithography, and focused ion beam
lithography were employed for the template formation. Despite significant advances using these methods, NIL
template formation process suffers from low throughput and high cost of fabrication when compared with the fabrication
of masks used in optical lithography. This is largely owing to a 4X difference in feature sizes involved for the
fabrication of NIL template and optical lithography mask. In this paper, we report on a simple, cost-effective method for
the fabrication of sub-30 nm NIL templates. Typical fabrication-time required for the formation of sub-30 nm HP
templates using conventional Gaussian beam electron beam lithography, runs into several days. Additionally, complicated
etch procedures must be employed for pattern transfer onto quartz substrates. Here we propose a low cost, simplified
fabrication process for the formation of high resolution NIL templates using wafer pattern replication. We fabricated sub-
30nmHP poly-silicon lines and spaces on silicon wafer using multiple patterning technique. These patterns were subsequently
transferred onto quartz substrates using NIL technique.
Several types of features were studied to realize a template using the triple patterning technique described above. Results of wafer printing using the said template will be discussed.
KEYWORDS: Semiconducting wafers, Photomasks, Line width roughness, Inspection, Wafer inspection, Scanning electron microscopy, Defect detection, Signal to noise ratio, Extreme ultraviolet lithography, Line edge roughness
EUVL is the strongest candidate for a sub-20nm lithography solution after immersion double-patterning. There are still
critical challenges for EUVL to address to become a mature technology like today's litho workhorse, ArF immersion.
Source power and stability, resist resolution and LWR (Line Width Roughness), mask defect control and infrastructure
are listed as top issues. Source power has shown reasonably good progress during the last two years. Resist resolution
was proven to resolve 32nm HP (Half Pitch) lines and spaces with good process windows even though there are still
concerns with LWR. However, the defectivity level of blank masks is still three orders of magnitude higher than the
requirement as of today.
In this paper, mask defect control using wafer inspection is studied as an alternative solution to mask inspection for
detection of phase defects on the mask. A previous study suggested that EUVL requires better defect inspection
sensitivity than optical lithography because EUVL will print smaller defects. Improving the defect detection capability
involves not only inspection system but also wafer preparation. A few parameters on the wafer, including LWR and
wafer stack material and thickness are investigated, with a goal of enhancing the defect capture rate for after
development inspection (ADI) and after cleaning inspection (ACI). In addition to defect sensitivity an overall defect
control methodology will be suggested, involving mask, mask inspection, wafer print and wafer inspection.
The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography
(EUVL) into high volume manufacturing, yet little data is available for understanding native defects on real masks. In
this paper, a full-field EUV mask is fabricated to investigate the printability of various defects on the mask. The
printability of defects and identification of their source from mask fabrication to handling were studied using wafer
inspection. The printable blank defect density excluding particles and patterns is 0.63/cm2. Mask inspection is shown to
have better sensitivity than wafer inspection. The sensitivity of wafer inspection must be improved using through-focus
analysis and a different wafer stack.
EUV resists have been developed to be able to print sub-30nm L/S features with EUV ADT having 0.25NA. However, a
lithographic performance especially line width roughness (LWR) of EUV resist is not comparable to that of DUV resist.
Shot noise effect has been regarded as a main reason for this poor performance of EUV resist [1-2]. Polymer bound PAG
with sensitizer is considered as one of solutions to overcome this problem. The champion resist based on polymer bound
PAG shows good performance at 30nm L/S and 27nm L/S patterns, although LWR is still worse than target. Additional
processes such as smoothing process, chemical treatment process and surfactant rinse process are evaluated. Surfactant
rinse process which can improve LWR and pattern collapse simultaneously is regarded as a best solution. A new resist
which can overcome out-of band radiation problem is required for EUV lithography. A resist which is totally transparent
at DUV or a resist which is very opaque at DUV wavelength is expected to be a solution for OOB problem of EUV
lithography.
Overlay (O/L) misalignment (M/A) is induced from numerous sources including metrology error and stage control error,
and aberration in projection optics. However, as design rule become smaller, aberration induced O/L M/A is evaluated to
take considerable portion in the overlay budget. This paper focuses on O/L M/A issues from projection optics. We
presents a simulation analysis of M/A between contact hole (C/H) pattern and line & space (L/S) pattern at 65nm node
based on the aberration data from actual lithography tool to single out the main source of O/L M/A.. The study shows
that the aberration in projection optics can induce considerable M/A and the conventional overlay keys do not represent
this M/A properly. Among the Zernike fringe polynomials, the third-order behavior (D3) in Z2 (tilt) is found to be the
critical source of misalignment. This portion of the aberration is resulted from the lens heating (LH) and can be corrected.
However, this correction method needs improvements because its controllability over LH is not enough for the complete
correction of LH induced M/A. Besides D3, Z10 (3-Foil) are found to be the major sources for pattern shift in C/H
patterns, and Z7 and Z14 (Coma x) are found for L/S patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.