Extreme Ultra-Violet (EUV) lithography is pushing material suppliers to provide the cleanest possible products for tight quality standards. The emphasis on minimizing residual particles, metals, and organics coming from materials and equipment continues to increase. Filter design and its key sub-components such as membrane continue to play a significant role to enhance performance in EUV lithography by reducing defectivity. This necessitates an improvement in retention and cleanliness for both bulk and point-of-use (POU) filters. While POU filtration targets high retention, typically achieved by membrane’s reduced pore size, the main requirement of bulk filtration is maximizing the amount of material recirculated through the filter per unit of time and is achieved with improved tortuosity and well-defined pore structure. In this study, we present a novel HDPE filter specifically designed to provide a high flow (lower differential pressure) without sacrificing retention characteristics. The new membrane was assembled in a POU filter format and compared head-to-head with a state-of-the-art HDPE membrane filter for POU application. The flow performance was assessed by differential pressure (dP) measurement, which showed an enhanced performance benefit of dP reduction by 50% compared to the reference filter, while all other test parameters are improved or at least comparable. The filter cleanliness was quantified by liquid particle counter (LPC), GC-MS, and ICP/MS measurements. Finally, comparative defect data was obtained from the blanket and pattern wafers, prepared on imec EUV cluster comprised of TEL Clean Track LITHIUS Pro-Z and ASML NXE:3400B with a 16nm L/S test vehicle.
The silicon hardmask (Si-HM) is one of the key materials used in multilayer lithography for pattern transfer to a substrate using a fluorinated plasma etching process. Manufacturing of devices with smaller feature sizes introduces new challenges in defect control of all the critical layers, including the Si-HM layer used in photolithography. One of the major challenges of Si-HM materials includes intrinsic defect formations, which can be exacerbated by the presence of foreign contaminants such as soft and hard particles, organics, and metal-ionic contaminants. These contaminants are also known to induce defects by interfering with the plasma etch processes used in advanced patterning technologies. The contaminants can range from microns to angstroms in size. The identification and characterization of the defect adders is important to develop filtration methods capable of minimizing the number of on-wafer defects and consequently improving the quality. In this study, metal contaminants, liquid particle count and on-wafer defects of Si- HMs and filtration removal rates are monitored to determine the effect of filter type, pore size, media morphology, and cleanliness on filtration performance. 5-nm PTFE NTD2 filter having proprietary surface treatment used in this study shows lowest defect count.
The availability of EUV lithography is the mainstream for resolving critical dimension of the advanced technology nodes, currently in the range of 18nm and below [1]. The first insertion of EUVL into manufacturing utilizes chemically amplified resist (CAR) [2]. The filtration of CAR, both at bulk and point-of-use (POU), has already demonstrated in ArF and ArF immersion lithography to play a significant role for microbridges reduction essentially by removing hard particle and gels [3-6]. With respect to ArFi, EUV is bringing new challenges not only for the achievement of the required line roughness, sensitivity and resolution, but also for the need of a substantial reduction of defects such as line collapse, microbridges and broken lines. In this study, it demonstrated the ability of utilizing novel POU filtration to modulate microbridges and achieving superior start-up behavior, both crucial for enabling EUVL at high volume manufacturing. Different POU filters were tested at the imec EUV cluster comprised of TEL CleanTrack LITHIUS Pro-Z and ASML NXE:3400B. The start-up performance, assessed by measuring defects down to 19nm size as a function of the flushing solvent volume, has shown the fast achievement of attaining a stable baseline. Lithography experiments targeting reduction of on-wafer defectivity, carried out with commercially available photoresists, have consistently shown a substantial reduction of after resist development (ADI) and after resist etch (AEI) microbridges on a 16nm L/S test vehicles. The effect of membrane physical intrinsic designs and novel cleaning of POU devices are discussed.
There are many knobs available that change the chemical and physical properties of the photoresists to "break" the RLS (Resolution, Sensitivity, Line edge/width roughness) trade-off, however those are not enough today to realize a material to satisfy all requirements at once for 7nm technology and beyond. DDRP improves the ultimate achievable resolution via pattern collapse mitigation, hence the priority of requirements for the EUV photoresist development may be changed with more focus on Sensitivity and LWR. This may potentially provide a new conceptual approach towards EUV PR development for DDRP applications. We have previously demonstrated pattern collapse (PC) mitigation via DDRP on different EUVL photoresists (including different resist platforms), achieving ultimate resolution and exposure latitude improvements [1,2]. In this contribution, we report patterning and material defect performance of HVM compatible (all aqueous) dry development rinse material. We will also report on process window improvement on 2-dimensional metal structures towards standard cell size reduction with elimination of mask layer(s) using single EUV exposure.
KEYWORDS: Lithography, Photoresist materials, Immersion lithography, Semiconducting wafers, Line width roughness, Line edge roughness, Scanning electron microscopy, Particles, Bridges, Finite element methods, Fermium, Frequency modulation
Specific “killer-defects”, such as micro-line-bridges are one of the key challenges in photolithography’s advanced applications, such as multi-pattern. These defects generate from several sources and are very difficult to eliminate. Pointof-use filtration (POU) plays a crucial role on the mitigation, or elimination, of such defects. Previous studies have demonstrated how the contribution of POU filtration could not be studied independently from photoresists design and track hardware settings. Specifically, we investigated how an effective combination of optimized photoresist, filtration rate, filtration pressure, membrane and device cleaning, and single and multilayer filter membranes at optimized pore size could modulate the occurrence of such defects [1, 2, 3 and 4]. However, the ultimate desired behavior for POU filtration is the selective retention of defect precursor molecules contained in commercially available photoresist. This optimal behavior can be achieved via customized membrane functionalization. Membrane functionalization provides additional non-sieving interactions which combined with efficient size exclusion can selectively capture certain defect precursors. The goal of this study is to provide a comprehensive assessment of membrane functionalization applied on an asymmetric ultra-high molecular weight polyethylene (UPE) membrane at different pore size. Defectivity transferred in a 45 nm line 55 nm space (45L/55S) pattern, created through 193 nm immersion (193i) lithography with a positive tone chemically amplified resist (PT-CAR), has been evaluated on organic under-layer coated wafers. Lithography performance, such as critical dimensions (CD), line width roughness (LWR) and focus energy matrix (FEM) is also assessed.
This manuscript shows the relationship between defectivity of a typical chemo-epitaxy sequence and the DSA-specific materials, namely the mat, the brush and the block co-polymer. We demonstrate that the density of assembly defects in a line-space DSA flow, namely the dislocations and 1-period bridges have a direct correlation to certain parameters in the synthesis sequence of these materials. The primary focus of this manuscript is on identifying, controlling and reproducing the defects-critical parameters in the block co-polymer synthesis process for a stable and low defect performance of DSA flows.
High-defect density in thermodynamics driven directed self-assembly (DSA) flows has been a major cause of concern for a while and several questions have been raised about the relevance of DSA in high-volume manufacturing. The major questions raised in this regard are: (1) What is the intrinsic level of DSA-induced defects? (2) Can we isolate the DSA-induced defects from the other processes-induced defects? (3) How much do the DSA materials contribute to the final defectivity and can this be controlled? (4) How can we understand the root causes of the DSA-induced defects and their kinetics of annihilation? (5) Can we have block copolymer anneal durations that are compatible with standard CMOS fabrication techniques (in the range of minutes) with low-defect levels? We address these important questions and identify the issues and the level of control needed to achieve a stable DSA defect performance.
Negative tone development (NTD) has dramatically gained popularity in 193 nm dry and immersion lithography, due to their superior imaging performance [1, 2 and 3].
Popular negative tone developers are organic solvents such as n- butyl acetate (n-BA), aliphatic ketones, or high-density alcohols such as Methyl Isobutyl Carbinol (MIBC). In this work, a comparative study between ultra-high molecular weight polyethylene (UPE) and polytetrafluoroethylene (PTFE) POU filtration for n-BA based NTD has been carried out.
Results correlate with the occurrence or the mitigation of micro bridges in a 45 nm dense line pattern created through immersion lithography as a function of POU membrane.
Efforts to extend 193 nm lithography have introduced multiple patterning solutions to print a single level layer. Due to this increased complexity, defectivity on each layer is becoming very critical. Micro and multiple line bridges are one of the primary challenges in photolithography contributing to this complexity. These defects originate from several root causes and are difficult to eliminate. Point-of-use filtration plays a significant role on the mitigation of such defects. The impact of filtration rate and pressure was previously documented. In this research, we demonstrate that the combination of membrane and pore size selection, photoresist optimization, and hardware optimization can impact micro and multiple bridge mitigation in a 45 nm line/space pattern created through immersion lithography.
High defect density in thermodynamics driven DSA flows has been a major cause of concern for a while and several questions have been raised about the relevance of DSA in high volume manufacturing. The major questions raised in this regard are: 1. What is the intrinsic level of DSA-induced defects, 2. Can we isolate the DSA-induced defects from the other processes-induced defects, 3. How much do the DSA materials contribute to the final defectivity and can this be controlled, 4. How can we understand the root causes of the DSA-induced defects, their kinetics of annihilation and finally, 5. Can we have block co-polymer anneal durations that are compatible with standard CMOS fabrication techniques (in the range of minutes) with low defect levels. This manuscript addresses these important questions and identifies the issues and the level of control needed to achieve a stable DSA defect performance.
Directed Self-Assembly (DSA) of Block Co-Polymers (BCP) has become an intense field of study as a potential patterning solution for future generation devices. The most critical challenges that need to be understood and controlled include pattern placement accuracy, achieving low defectivity in DSA patterns and how to make chip designs DSA-friendly. The DSA program at imec includes efforts on these three major topics. Specifically, in this paper the progress in DSA defectivity within the imec program will be discussed. In previous work, defectivity levels of ~560 defects/cm2 were reported and the root causes for these defects were identified, which included particle sources, material interactions and pre-pattern imperfections. The specific efforts that have been undertaken to reduce defectivity in the line/space chemoepitaxy DSA flow that is used for the imec defectivity studies are discussed. Specifically, control of neutral layer material and improved filtration during the block co-polymer manufacturing have enabled a significant reduction in the defect performance. In parallel, efforts have been ongoing to enhance the defect inspection capabilities and allow a high capture rate of the small defects. It is demonstrated that transfer of the polystyrene patterns into the underlying substrate is critical for detecting the DSA-relevant defect modes including microbridges and small dislocations. Such pattern transfer enhances the inspection sensitivity by ~10x. Further improvement through process optimization allows for substantial defectivity reduction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.