Advanced 193nm DUV optical inspection tools that can cover 2Xnm HP node become more important and they are being tested to estimate their extendibility. We report DUV based inspection results evaluated and compared to wafer prints, as well as mask CD-SEM images in order to determine the size of printable defects that must be detected in each device node. Applied Materials® advanced Aera™ optical mask inspection tool that adapted a new optical technology enhancement was utilized to evaluate its inspection capability. The illumination conditions and pixel size were optimized to increase inspection sensitivity and reach detection requirements for not only critical defects that print on the wafer but also non-printing defects that indicate to a mask issue. Simulation was used to study suitable optical illumination conditions analyzing results to achieve the best performance for high-end EUV mask inspection toward next generation lithography.
Ever since the 180nm technology node the semiconductor industry has been battling the sub-wavelength regime in
optical lithography. During the same time development for a 13.5nm Extreme Ultraviolet [EUV] solution has been in
development, which would take us back from a λ/10 to a >λ regime again - at least for one node. Add to this the
potential to increase the wafer size as well, and we are at a major crossroads.
The introduction of EUV has been marred by many delays, but we are finally seeing the hardware development efforts
converge and multiple customers around the world embarking on this adventure. As it becomes clear that this preproduction
phase will occur at or below 20nmHP, it also becomes clear that this will happen at the limiting edge of
existing 19x-based patterned mask inspection technology, reaching the practical resolution limits at around 20nm HP
mask densities. Resolution is coupled with sensitivity and throughput such that the extended sensitivity may come at an
unreasonable throughput. Loss of resolution also badly impacts defect dispositioning, or classification, which becomes
impractical. As resolution is especially critical for die to database inspection, single die masks and masks with high flare
bias are at risk of not being inspectable with 19xnm based inspectors.
E-Beam based mask inspection has been proposed and demonstrated as a viable technology for patterned EUV mask
inspection. In this paper, we study the key questions of sensitivity and throughput, in both die-to-die and die-to-database
applications. We present new results, based on a new generation of E-Beam inspection technology, which has a higher
data rate at smaller spot sizes. We will demonstrate the feasibility of acceptable inspection time with EBMI. We also will
discuss die-to-data-base inspection and the advantage of using E-Beam imaging for meeting future requirements of
single- die EUV masks.
Extreme ultraviolet (EUV) e-beam patterned mask inspection (EBPMI) has been proposed by Applied Materials as a
cost-effective solution for high volume manufacturing (HVM) in mask shops and fabs. Electron beam inspection
technology is currently available for wafers. A recent publication described a successful sensitivity study of EUVs mask
using a technology demonstration platform. Here we present a new study using extreme e-beam conditions to show the
feasibility of using EBPMI in HVM. We examine potential changes in the reflectivity at the EUV wavelength after
exposure to high e-beam currents, demonstrating that reflectivity does not change due to e-beam scanning. We therefore
conclude that under the conditions tested, which include typical as well as extreme conditions, there is no evidence of
mask damage.
Extreme ultraviolet (EUV) e-beam patterned mask inspection (EBPMI) has been proposed by Applied Materials as a
cost-effective solution for high volume manufacturing (HVM) in mask shops and fabs. Electron beam inspection
technology is currently available for wafers. A recent publication described a successful sensitivity study of EUVs mask
using a technology demonstration platform. Here we present a new study using extreme e-beam conditions to show the
feasibility of using EBPMI in HVM. We examine potential changes in the reflectivity at the EUV wavelength after
exposure to high e-beam currents, demonstrating that reflectivity does not change due to e-beam scanning. We therefore
conclude that under the conditions tested, which include typical as well as extreme conditions, there is no evidence of
mask damage.
While EUV lithography is approaching the pre-production stage, improving mask defectivity is recognized as a top
challenge. The accepted strategy for EUV reticle qualification is to use a combination of a dedicated blank inspection
(BI) to visualize EUV-specific multi-layer (ML) defects and patterned-mask inspection (PMI) that must be capable to
meet the resolution requirements of the pattern. Actinic inspection is considered the strongest option for the blank
inspection because of the limitation of optical light to visualize the nm-high distortions within the ML. Earlier
publications showed that wafer inspection (WI) can potentially reveal such mask defects, This is, however, too late
within the process. In addition, existing PMI and wafer inspection approaches exhibit limitations in detection capability
and gaps are observed between detection of printed defects and defects detected on the mask (and the blank).
We compare existing inspection solutions for detection of EUV mask defects (193nm based mask inspection and
repeater analysis in a DUV wafer inspection) and present a feasibility study for use of a fast e-beam technology for mask
inspection. Finally, we discuss the prospects of existing DUV tools and future e-beam technology to support EUV reticle
inspection for current and future nodes.
EUV lithography is regarded as the leading technology solution for the post-ArF era. Significant progress was made in
recent years in closing the gaps related to scanner technology. This progress rendered EUV mask defectivity and related
infrastructure as the primary risk for EUV lithography.
The smallness of mask features, the novel defectivity mechanisms associated with the multilayer reflecting coating, and
the stringent constraints on both multilayer and pattern imposed by the EUV wavelength - present a major challenge to
current inspection technology, which constitutes a predominant gap to EUVL production-worthiness.
Here we present results from an evaluation of a DUV mask inspection system and e-beam mask inspection technology
on EUV masks. On this 193nm DUV system, we studied sensitivity and contrast enhancements by resolution
enhancement techniques. We studied both pattern and blank inspection. Next, we studied image formation and
performance of e-beam mask inspection technology for patterned mask defects. We discuss the advantages and roadmap
of DUV and EBI mask inspection solutions for blank and patterned masks.
The extension of ArF lithography through reduced k1, immersion and double patterning techniques makes lithography a
difficult challenge. Currently, the concept of simple linear flow from design to functional photo-mask is being replaced
by a more complex scheme of feedback and feed-forward loops which have become part of a complex computational
lithography scheme. One such novel lithography concept, called "holistic lithography", was recently introduced by
ASML, as a scheme that makes the lithography process a highly efficient solution for the scaled down geometries. This
approach encourages efficient utilization of computational lithography and the use of feed-forward and feed-back critical
dimension (CD) and overlay correction loops. As sub-nanometer feature dimensions are reached for 3x nodes, with k1
reaching the optics limitations, Mask error enhancement factor (MEEF) values grow fast, thus making mask uniformity
fingerprint and degradation throughout its life time a significant factor in printed CDU on the wafer. Whereas the
consensus is on the need for growing density of intra-field data, traditional critical dimension scanning electron
microscope (CDSEM) Feed backward loops to the litho-cell become unsuitable due to the high density CD measurement
requirements. Earlier publications proposed implementing the core of the holistic lithography concept by combining two
technologies: Applied Material's IntenCDTM and ASML DoseMapper . IntenCD metrology data is streamed in a feedforward
fashion through DoseMapper and into the scanner, to create a dose compensation recipe which improves the
overall CDU performance. It has been demonstrated that the IntenCD maps can be used to efficiently reduce intra-field
printed CDU on printed wafers.
In this paper we study the integration concept of IntenCD and DoseMapper in a production environment. We implement
the feed-forward concept by feeding IntenCD inspection data into DoseMapper that is connected to ASML's
TWSINCANTM XT:1900i scanner. We apply this concept on printed wafers and demonstrate significant reduction in
intra-field CDU. This concept can effectively replace the feedback concept using send-ahead wafers and extensive
CDSEM measurements. The result is a significant cost saving and fab productivity improvement. By routinely
monitoring mask-based CDU, we propose that all photo-induced transmission degradation effects can be compensated
through the same mechanism. The result would be longer intervals between cleans, improved mask lifetime, and better
end of line device yield.
The economy of wafer fabs is changing faster for 3x geometry requirements and below. Mask set and exposure tool
costs are almost certain to increase the overall cost per die requiring manufacturers to develop productivity and yield
improvements to defray the lithography cell economic burden. Lithography cell cost effectiveness can be
significantly improved by increasing mask availability while reducing the amount of mask sets needed during a
product life cycle. Further efficiency can be gained from reducing send-ahead wafers and qualification cycle time,
and elimination of inefficient metrology. Yield is the overriding die cost modulator and is significantly more
sensitive to lithography as a result of masking steps required to fabricate the integrated circuit. Thus, for productivity
to increase with minimal yield risk, the sample space of reticle induced source of variations should be large, with
shortest measurement acquisition time possible.
This paper presents the latest introduction of mask aerial imaging technology for the fab, Aera2TM for Lithography
with IntenCTM, as an enabler for efficient lithography manufacturing. IntenCD is a high throughput, high density
mask-based critical dimension (CD) mapping technology, with the potential for increasing productivity and yield in
a wafer production environment. Connecting IntenCD to a feed forward advance process control (APC) reduces
significantly the amount of traditional CD metrology required for robust wafer CD uniformity (CDU) correction and
increases wafer CD uniformity. This in turn improves the lithography process window and yield and contributes to
cost reduction and cycle time reduction of new reticles qualification.
Advanced mask technology has introduced a new challenge. Exposure to 193nm wavelength stimulates haze growth
on the mask and imposes a regular cleaning schedule. Cleaning eventually causes mask degradation. Haze growth
impacts mask CD uniformity and induce global transmission fingerprint variations. Furthermore, aggressive
cleaning may damage the delicate sub-resolution assist features. IntenCD based CDU fingerprint correction can
optimize the regular mask cleaning schedule, extending clean intervals therefore extending the overall mask life
span. This mask availability enhancement alone reduces the amount of mask sets required during the product life
cycle and potentially leads to significant savings to the fab.
This mask availability enhancement alone reduces the amount of mask sets required during the product life cycle
and leads to significant savings to the fab.
In this paper we present three case studies from a wafer production fab and a mask shop. The data presented
demonstrates clear productivity and yield enhancements. The data presented is the outcome of a range of new
applications which became possible by integrating the recently introduced Applied Materials Aera2TM for
Lithography aerial imaging inspection tool with the litho cluster.
Aggressive line width and other features of interest in advanced-technology node designs are achieved by using
pattern-related resolution enhancement techniques (RET) coupled with mask transmission effects. Mask
transmission effects, such as phase shift, are controlled by physical parameters, including mask blank material
characteristics and mask architecture. In the case of advanced phase shift masks, the uniformity of transmitted
phase, affected by both material properties and thickness, can become a dominant factor in achieving the final
wafer CD targets.
While traditional mask inspection tools are capable of detecting geometrical variation, detecting phase
non-uniformity effects requires complementary, slow analytical tools. AMAT's IntenCDTM is a novel application
for advanced PSM masks which can be used for CD variation control in mask qualification. IntenCD captures
mask CD variations in the aerial image regardless of the geometrical or physical aspect of its origin, producing a
high-definition CDU map of the reticle. In this paper, we focus on a case study encountered at MP Mask where a
PSM mask was sent to the fab to confirm large CD variations on a printed wafer due to mask etching process
issues. Conventional defect inspection was not capable of detecting this excursion. The effect was clearly related
to phase layer thickness as verified using an Atomic Force Microscope (AFM) tool. We show how the novel
IntenCD application integrated into the aerial image mask inspection tool enables accurate prediction of CD
variation in the aerial image due to mask phase errors.
The tight process window of advanced lithography in the semiconductor industry is further challenged by
the growing contribution of photo-mask related CD variations. In previous technology generations,
global measurement and global correction were sufficient to compensate for critical dimension uniformity
(CDU) variations deriving from various sources. However, in the low K1 regime for 45nm nodes and
below, cross-coupled effects such as Mask Error Enhancement Factor (MEEF) and mask CDU can easily
consume the overall CD budget related to lithographic process steps (see table 3).
ASML's DoseMapper was designed to correct system (e.g. scanner, track) and non-system (e.g. mask)
related errors controlled by an Automated Process Control (APC) system. It was introduced as a
method for correcting intra-field and inter-field variations, relying on feedback from printed wafer based
metrology. Here we propose using AMAT's IntenCDTM map for supplying dense CDU measurement
results from the reticle as a feed-forward input to DoseMapper. The IntenCDTM application characterizes
CD uniformity of 'features of interest' across the mask in the form of a dense map with high accuracy and
throughput.
The case studies presented in this paper are the result of collaboration between AMAT and ASML to
demonstrate the benefit of feeding IntenCD output into DoseMapper CD analyzer which translates the
mask CD map into a scanner dose recipe.
The integrated solution can be implemented in manufacturing factories to shorten turnaround time and
improve the exposure process window. It can be used to compensate for CDU effects due to mask
production as well as contributions due to life time deterioration.
Lithographic process steps used in today's integrated circuit production require tight control of critical
dimensions (CD). With new design rules dropping to 32 nm and emerging double patterning processes,
parameters that were of secondary importance in previous technology generations have now become
determining for the overall CD budget in the wafer fab. One of these key parameters is the intra-field mask
CD uniformity (CDU) error, which is considered to consume an increasing portion of the overall CD
budget for IC fabrication process. Consequently, it has become necessary to monitor and characterize CDU
in both the maskshop and the wafer fab.
Here, we describe the introduction of a new application for CDU monitoring into the mask making process
at Samsung. The IntenCDTM application, developed by Applied Materials, is implemented on an aerial
mask inspection tool. It uses transmission inspection data, which contains information about CD variation
over the mask, to create a dense yet accurate CDU map of the whole mask. This CDU map is generated in
parallel to the normal defect inspection run, thus adding minimal overhead to the regular inspection time.
We present experimental data showing examples of mask induced CD variations from various sources such
as geometry, transmission and phase variations. We show how these small variations were captured by
IntenCDTM and demonstrate a high level of correlation between CD SEM analysis and IntenCDTM mapping
of mask CDU. Finally, we suggest a scheme for integrating the IntenCDTM application as part of mask
qualification procedure at maskshops.
The aerial images of modern photomasks are highly susceptible to CD errors, owing to the high MEEF values
characteristic of the low-k1 regime. The requirement for tight wafer CD control thus places stringent constraints on mask
errors. Nevertheless, multiple physical variations of the mask parameters can lead to the same aerial CD error.
We introduce IntenCDTM, a novel, fast and precise CD variation mapping application running on Applied Materials'
aerial image inspection tools. The IntenCD application generates a high-precision map of the CD variation, and allows
tighter control of mask manufacturing process and qualification, without loss of precision compared to slow, discrete
measurement tools such as CD SEM, but with a higher throughput, while offering complete mask coverage and higher
measurement definition.
We study and provide the theoretical basis to the IntenCD application. We analyze image formation of dense, repetitive
mask patterns under aerial imaging conditions and show, analytically and numerically (through a series of simulations),
that for a small perturbation of the nominal physical mask parameters, the relative variation of the average aerial
intensity scales linearly with the relative aerial CD error. This linear relation, unique to aerial imaging mask inspection,
is independent of the physical source of the variation and of the mask design pitch. Our results imply that a robust aerial
intensity measurement can detect sub-nm aerial CD variations. We discuss some practical problems that have to be
addressed to obtain this challenging resolution, and describe in some detail the technological solutions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.