KEYWORDS: Etching, Extreme ultraviolet lithography, System on a chip, Line width roughness, Lithography, Extreme ultraviolet, Optical lithography, Scanning electron microscopy, Double patterning technology, Dry etching
The ability to further shrink EUVL geometries can be facilitated using multiple lithographic approaches. Most recent proposals suggest an EUVL double patterning strategy. This path requires additional and expensive EUVL tool time. In our work, using an EUVL patterned polymer as a guide material with DSA incorporated into the lithography flow is an optional process mitigating EUVL tool time. Multiple variations of BCP (block copolymers) were successfully tested. In addition, pattern transfer through silicon containing hard mask and spin on carbon layers was demonstrated with minimum LER (line edge roughness) and good critical dimension uniformity (CDU).
Directed self-assembly (DSA) of block copolymers (BCPs) is one of the most promising patterning technologies for future lithography nodes. However, one of the biggest challenges to DSA is the pattern transfer by plasma etching from BCP to hardmask (HM) because the etch selectivity between BCP and neutral brush layer underneath is usually not high enough to enable robust pattern transfer. This paper will explore the plasma etch conditions of both BCPs and neutral brush layers that may improve selectivity and allow a more robust pattern transfer of DSA patterns into the hardmask layer. The plasma etching parameters that are under investigation include the selection of oxidative or reductive etch chemistries, as well as plasma gas pressure, power, and gas mixture fractions. Investigation into the relationship between BCP/neutral brush layer materials with varying chemical compositions and the plasma etching conditions will be highlighted. The culmination of this work will demonstrate important etch parameters that allow BCPs and neutral brush layers to be etched into the underlying hardmask layer with a large process window.
Material challenges for DSA Directed Self-Assembly (DSA) of block copolymers are accompanied by processing automation and throughput complexity for successful implementation into manufacturing. Our goal was to address the ability of this complementary lithography technique to offer streamlining with respect to thermal anneal time, lowering it from hours to minutes. In addition, the standard high temperature anneal at 250-270˚C was decreased to 160-180˚C with low levels of defects measured. This DSA requirement designed to shift to low temperatures for thermal annealing is primarily due to low Tg monomers incorporated into the copolymer blocks. Chemoepitaxy DSA processes can be simplified significantly with more potential as a result of lower Tg. 193i nm lithography continues to be utilized for creating guiding patterns that provide the ability to achieve pattern density multiplication and defect rectification. In parallel, we are providing additional chemistry enhancement techniques for feature sizes <10 nm by improving wet etch pattern transfer capability specifically targeted to mitigate pattern collapse. Dry etch process steps for removal of one block, followed by pattern transfer into hard mask layers are enhanced with the incorporation of monomers into the PS block of the high chi BCP with the intent to minimize issues due to low selectivity values. Etch selectivity has been increased from 3:1 to 6:1 with customizing the copolymer blocks. Due to the fact that the practical application of high chi DSA continues to face technical and material challenges in comparison to standard PS-b-PMMA process steps, BSI achieved low temperature and short time block copolymer annealing with the innovation of material enhancement chemistry. Specially designed materials have been developed to improve material robustness for pattern fidelity after etching multi-stack spin on layers for DSA requirements. We have enabled the extension of the functionality and capability of our block copolymer (BCP) materials in order to easily transfer a DSA flow into manufacturing in addition to no need for top-coat or solvent annealing to get perpendicular orientation as we reported before1.
A variety of advanced DSA materials have been developed to offer the ease of fabrication and precise control of DSA processes, especially to minimize the number of processing steps and material depositions needed in the DSA implementation. Exemplary materials include spin-on hardmask neutral layer (HM-NL) materials, crosslinkable guiding polymers materials (X-mat), and block copolymers (BCPs) with respective unique combinations of multiple functionalities, e.g., HM-NL materials having etch resistance capability, reflectance control, and non-preferential surface energy to BCP blocks; BCPs with solubility in orthogonal solvents to photoresist, low thermal annealing temperatures required, and no need for top-coat or solvent annealing to get perpendicular orientation. Empowered by these materials, both conventional graphoepitaxy and chemoepitaxy DSA processes can be simplified and enhanced with more potential. For instance, simplified graphoepitaxy DSA by using positive-tone development (PTD) photoresist patterns has been successfully demonstrated. This simplified graphoepitaxy DSA has also led to a facile approach to generate chemoepitaxy guiding patterns.
High-χ block copolymers for directed self-assembly (DSA) patterning that do not need topcoat or solvent annealing have been developed. A variety of functionalities have been successfully added into the block copolymers, such as balanced surface energy between the polymer blocks, outstandingly high χ, tunable glass transition temperature (Tg), and selective crosslinking. Perpendicular orientation control, as desired for patterning, of the block copolymers can be simply achieved by thermal annealing due to the equal surface energy of the polymer blocks at the annealing temperatures, which allows avoiding solvent annealing or top-coat. The χ value can be tuned up to achieve L0 as low as 8-10 nm for lamellar-structured block copolymers and hole/pillar size as small as 5-6 nm for cylinder-structured block copolymers. The Tg of the block copolymers can be tuned to improve the kinetics of thermal annealing by enhancing the polymer chain mobility. Block-selective crosslinking facilitates the pattern transfer by mitigating pattern collapse during wet etching and improving oxygen plasma etching selectivity between the polymer blocks. This paper provides an introductory review of our high-χ block copolymer materials with various functionalities for achieving improved DSA performance.
A multifunctional hardmask neutral layer (HM NL) was developed to improve etch resistance capabilities, enhance reflectance control, and match the surface energy properties required for polystyrene block copolymers (PS-b-PMMA). This HM NL minimizes the number of substrate deposition steps required in graphoepitaxy directed self-assembly (DSA) process flows. A separate brush layer is replaced by incorporating neutral layer properties into the hardmask to achieve microphase separation of BCP during thermal annealing. The reflection control and etch resistance capabilities are inherent in the chemical composition, thus eliminating the need for separate thin film layers to address absorbance and etch criteria. We initially demonstrated successful implementation of the HM NL using conventional PS-b-PMMA. A series of BCP formulations were synthesized with L0 values ranging from 28 nm to 17 nm to test the versatility of the HM NL. Quality “fingerprint” patterns or microphase separation using 230°-250°C annealing for 3-5 minutes was achieved for an array of modified BCP materials. The HM NL had water contact angles at 78°-80° and polarities in the 5-6 dyne/cm range. The scope of BCP platform compositions evaluated consists of a 20° water contact angle variance and a 10-dyne/cm range in polarities. All BCP derivatives were coated directly onto the HM NL followed by thermal annealing followed by SEM analysis for effective “fingerprint” patterns. We offer a simplified alternative path for high etch resistance in a graphoepitaxy DSA flow employing a single-layer hardmask for etch resistance demonstrated to be compatible with diverse BCP-modified chemical formulations.
Micro-phase separation for directed self-assembly (DSA) can be executed successfully only when
the substrate surface on which the block co-polymer (BCP) is coated has properties that are ideal for
attraction to each polymer type. The neutral underlayer (NUL) is an essential and critical component in
DSA feasibility. Properties conducive for BCP patterning are primarily dependent on “brush” or
“crosslinked” random co-polymer underlayers. Most DSA flows also require a lithography step (reflection
control) and pattern transfer schemes at the end of the patterning process. A novel multifunctional
hardmask neutral layer (HM NL) was developed to provide reflection control, surface energy matching,
and pattern transfer capabilities in a grapho-epitaxy DSA process flow. It was found that the ideal surface
energy for the HM NL is in the range of 38-45 dyn/cm. The robustness of the HM NL against exposure to
process solvents and developers was identified. Process characteristics of the BCP (thickness, bake time
and temperature) on the HM NL were defined. Using the HM NL instead of three distinct layers – bottom
anti-reflective coating (BARC) and neutral and hardmask layers – in DSA line-space pitch tripling and
contact hole shrinking processes was demonstrated. Finally, the capability of the HM NL to transfer a
pattern into a 100-nm spin-on carbon (SOC) layer was shown.
Utilizing thin photoresist imaging layers for successful pattern transfer has gained acceptance as a lithography process
of record, primarily due to the incorporation of silicon-containing hardmask (HM) layers for added etching resistance.
Our work includes understanding the impact of incorporating metal oxide (HfO2, ZrO2, ZnO, and TiZrO2) nanocrystal
additives supplied by Pixelligent Technologies into polymer-based spin-on HM coatings. The goal was to quantify
etch selectivity and analyze lithography process latitudes with the addition of nanocrystals into polymers. Results
indicate such additions provide substantial process window advantages with improvements in the depth of focus
(DOF) and overall pattern collapse margins.
KEYWORDS: Etching, Nanocrystals, Polymers, Photoresist materials, Line width roughness, Lithography, Silicon, System on a chip, Sol-gels, Nanocomposites
Utilizing thin photoresist layers for successful pattern transfer has gained acceptance as the lithography
process of record, primarily due to the incorporation of silicon-containing hardmask (HM) layers for added
etching resistance. Our work includes understanding the impact of HfO2 and ZrO2 nanocrystal additives
incorporated into spin-on HM materials. The goal is to quantify both etch selectivity and the improvements
in the lithography process windows with the addition of HfO2 nanocrystals into various types of polymers.
Conventional 193-nm photoresists and spin-on carbon materials were selected as references for etch
selectivity calculations. Results indicate there are process window advantages with improvements in the
depth of focus (DOF) and overall pattern collapse margins. In addition, the ability to quantify line width
roughness (LWR) as a function of resolution has been accomplished for these HM materials, and results
show low levels of LWR are achievable. Overall lithography process margins are positive for DOF,
exposure latitude (EL), LWR, and pattern collapse with the incorporation of HfO2-enhanced HM coatings
for etch protection.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.