BackgroundThe upcoming introduction of high-numerical aperture extreme ultraviolet (EUV) lithography is accompanied by ongoing activities to mitigate the imaging issues combined with the 3D photomask absorber. In addition to suitable exposure and patterning techniques, the absorber material has a large impact on image contrast and the usable depth of focus.AimThe study of solutions to the imaging downsides combined with the EUV reflective mask typically is based on rigorous imaging simulations. We show that aerial image metrology using Aerial Image Measurement System (AIMS)® EUV is a supplementary way to verify the impact of mask 3D effects in lithography.ApproachAn AIMS® EUV study of the impact of mask 3D effects on the through-focus image formation in a three-beam interference regime is presented.ResultsExperiments on a 20-nm vertical equal lines and spaces clip using dedicated monopole illumination demonstrate a prominent, focus-dependent line-shape behavior for each of the pole positions. Applying an analytical model for three-beam-imaging in the presence of defocus and mask 3D effects, we obtain excellent agreement between measurements and theory that allows us to derive the mutual phase shifts of the single interference cross-terms involved.ConclusionsUsing AIMS® EUV, an evaluation of the imaging impact of various absorber materials can be done experimentally without relying on wafer exposures.
KEYWORDS: 3D mask effects, Light sources and illumination, Extreme ultraviolet, Diffraction, 3D image processing, 3D modeling, Phase shifts, Simulations, 3D metrology, Extreme ultraviolet lithography
The upcoming introduction of High-NA EUV lithography in chip manufacturing is accompanied by still ongoing activities to mitigate the imaging issues combined with the 3D photomask absorber. Besides suitable exposure and patterning techniques, the mask absorber material has large impact on image contrast and the usable depth of focus. In recent years, 3D mask effects in EUV lithography have widely been investigated, and alternative absorber stacks which enable improved contrast and focus control have been proposed. The study of solutions to the challenges combined with the EUV reflective mask typically is based on rigorous imaging simulations. However, aerial image metrology can be a supplementary way to verify the impact of mask 3D effects on lithography. For this purpose, the AIMS® EUV actinic mask measurement represents a highly precise way to qualify the High-NA mask printing performance under scanner-equivalent optical column conditions. In this paper, we present an AIMS® EUV study of the impact of mask 3D effects on the through-focus image formation in a three-beam interference regime. Our experiments on a dense vertical 20nm lines and spaces clip using dedicated monopole illumination demonstrate a prominent, focus-dependent line shape deformation for each of the single pole positions. For the center monopole setting, frequency doubling of the aerial image is observed for one particular focus value. To describe the line shapes recorded, we applied an analytical model for three-beam-imaging in the presence of defocus and mask 3D effects. We obtained an excellent agreement between measurements and calculated data that allows us to derive the mutual phase-shifts of the single interference cross-terms involved. We conclude that the aerial image behavior as observed can be traced back to a coupling of the defocus aberration and mask 3D driven effects.
In parallel to the development of EUV lithography equipment and processes towards High-NA there is a still growing interest to study and understand the role of 3D mask absorber driven effects on imaging. Alongside with appropriate exposure and patterning techniques, the choice of a suitable mask absorber material has large impact on the process window optimization. The development of solutions to the challenges combined with the EUV reflective mask typically is based on rigorous imaging simulations, but aerial image metrology can be a supplementary way to verify the role of mask 3D effects on lithography. For that purpose, the ZEISS AIMS EUV actinic mask measurement represents a unique and variously usable way for the qualification of the current as well as the next generation High-NA mask printing performance under scanner-equivalent optical column conditions. In this paper, we show results of AIMS® EUV measurements at Ta-based absorber masks where we identify a significant impact of mask 3D driven phase shifts on the aerial images. For a standard High-NA dipole setting, the intensity profiles of a dense 18 nm vertical lines and spaces structure show an initially unexpected line splitting through focus that can be reproduced by rigorous imaging simulations. In contrast, no splitting is found if the experiment is described within a 2D model. A follow-up experiment on a similar dense vertical 20 nm lines and spaces clip with dedicated monopole illumination demonstrates a prominent asymmetric line splitting for each of the single pole positions, again in accordance with simulation. For a center monopole setting we see a frequency doubling of the aerial image for one particular focus position. To describe the aerial image behavior, we applied an analytical model for three-beam-imaging in the presence of defocus and mask 3D effects. Consequently, the observed through-focus behavior can be explained by various phase shifts to the three-beam imaging cross-terms involved.
AIMS® EUV is a unique tool in the EUV mask infrastructure. It allows qualification of the mask printing performance in the aerial image under scanner equivalent conditions. For emulation of the high NA EUV scanner, ZEISS upgraded the existing 0.33 NA AIMS® EUV platform. The system can now emulate both 0.33 NA isomorphic scanners as well as 0.55 NA anamorphic scanners. We present the concept of AIMS® EUV high NA with focus on the emulation of a wafer defocus in the anamorphic high NA scanner. Besides defect review applications, this enables aerial image based high NA imaging studies.
During the last decade, the introduction of EUV lithography in high-volume chip manufacturing has been accompanied by the development of technological prerequisites for a future support of the node scaling roadmap. As core element, the next generation EUV scanner with an increased NA of 0.55 will be implemented into wafer fabs within the upcoming few years. In addition to its enhanced resolution, the High-NA exposure tool improves image contrast, and consequently reduces local CDU and defect printing on wafer. To take full advantage of this next leap in lithography, the whole infrastructure including EUV photomask technologies and metrology must keep pace with the scanner progress. In this context, actinic EUV mask measurement represents a unique and variously usable way for the qualification of the mask printing performance under scanner-equivalent conditions. The aerial image metrology is targeted to match the corresponding scanner aerial image by means of the emulation of imaging-relevant scanner properties including wavelength, mask-side NA, through-slit chief ray angle, illumination schemes, and aberration level. To qualify High-NA masks of the anamorphic scanner, a methodology was developed that allows the simultaneous measurement of both NA=0.33 and NA=0.55 reticles based on one isomorphic optical projection optics design. Here, we describe the challenges and corresponding solutions combined with two intrinsically diverse emulation types, NA=0.33 isomorphic and NA=0.55 anamorphic, in one single metrology. Special attention is paid to the emulation of the elliptical scanner NA at reticle, the contrast impact due to vector-effects in High-NA scanner imaging, wafer defocus of an anamorphic system for focus-dose process window determination, the pupil obscuration of the High-NA scanner projection optics, and the scanner facetted illumination by means of physical free-form blades, and by a completely digital solution.
Digital Flex Illu is a fully digital solution which provides SMO functionality to the AIMS® EUV system by combining an adaptation of the already built-in system metrology with a powerful algorithm and most importantly, without changing the machine hardware. In this paper, we will present the concept of Digital Flex Illu functionality, its significant advantages in combination with a binary aperture-based illumination concept, together with showing imaging results obtained on the AIMS® EUV prototype system. This digital solution is a paradigm change for the AIMS® EUV usability and final user, it allows ZEISS to guarantee an agile roadmap for the AIMS® EUV with limited development effort and great benefits in sustainability and roadmap scaling.
The road towards the next technology leap in EUV lithography is set. ASML and ZEISS have designed and started manufacturing the next generation EUV exposure tool. With a wafer side NA of 0.55, the High NA scanner system will support the further pattern node scaling roadmap by improving image contrast and therewith reduce LCDU and defect print rate on product wafer. As for all major steps in the lithography evolution, the whole infrastructure will develop further in order to support this next quantum leap in EUV technology, with the EUV photomask technologies and metrology tools representing an essential piece of the mosaic. The AIMS® EUV system represents a unique piece of the EUV mask infrastructure for the qualification of the mask printing performance in the aerial image. The AIMS® aerial image is by design targeted to match the scanner aerial image, as the tool is engineered to emulate all imaging relevant scanner properties, e.g., mask side NA, through slit chief-ray characteristics, aberration level, illumination schemes. For the emulation of the High NA scanner, ZEISS developed and started manufacturing an upgrade for the current existing 0.33NA AIMS® EUV platform. The same AIMS® EUV system is therefore capable of imaging 0.33NA isomorphic as well as 0.55NA anamorphic masks, providing the best-in-class performance for both imaging technologies and optimal match to scanner imaging. In this paper the first imaging results of the AIMS® EUV High NA tool are shown together with quantitative analysis of 0.55NA anamorphic imaging properties. The challenges of providing two intrinsically diverse emulation types (0.33NA isomorphic and 0.55 anamorphic) in one single platform are described together with the solutions which were implemented and tested.
The continuation of Moore’s law demands the continuous development of EUV lithography. After the NXE:3400B scanner, currently being inserted in high-volume manufacturing (HVM), the next logical step is to increase the numerical aperture (NA) of the EUV projection optics, from 0.33 to 0.55, resulting in a high-NA EUV scanner. Looking back at the history of lithography tools developed in the last decades, we can see that such an increase of NA is, in relative terms, unprecedented (0.55 = 0.33 + 67%). This significant step forward in the NA is a challenge on many fronts and requires many adaptations. In this paper you will find an overview of the key concepts that make high-NA lithography different on imaging end, how the imaging assures the continued life of Moore’s law for the years to come and what are potential mask-related developments that would contribute to high-NA’s success.
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed in a joint collaboration between ASML and Carl Zeiss SMT. The High-NA EUV scanner employs a novel Projection Optics Box (POB) design concept with a numerical aperture of 0.55 that enables 8nm half pitch resolution and a high throughput. The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer (ML) masks at increased NA, however also has implications on the system design and usage of the tool. The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen for minimal impact on imaging performance. Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% pupil fill ratio (PFR). In this paper, we will show that High-NA EUV delivers increased resolution and contrast, thereby supporting EPE requirements of future nodes. We will show that the obscuration can benefit the imaging performance of via- and cutmask-layers by blocking the zeroth order light from the pupil, enhancing image contrast. Further contrast enhancement is possible by introducing alternative absorber stacks.
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of these future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with a numerical aperture of 0.55NA that enables 8nm HP resolution and a high throughput.
The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer masks at the increased NA, but also has implications on the system design and usage of the tool.
The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen such to have minimal impact on imaging performance.
Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% PFR.
Since imaging is done with unpolarized EUV light, so-called vector effects at high resolution need to be accounted for appropriately.
In this paper we will show the implications of the High-NA EUV system design on key performance metrics such as global CDU, pattern shift uniformity (overlay) and contrast for low local CDU at high throughput for several relevant use-cases.
To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore’s law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to ~ +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non-telecentricity. We will explore the solutions needed to mitigate these effects and to offer high quality imaging to be able to meet the required EPE performance in both orientations.
This paper presents the predicted imaging performance for an anamorphic EUV high NA (>0.5) exposure system with a 4x magnification in X orientation and a 8x magnification in Y orientation. It has a half field size with which the productivity requirements can be maintained. The main findings of the study are that horizontal and vertical features have very similar process window sizes despite magnification difference. A new definition of the Mask Error Factor (MEF) is introduced that is more relevant for anamorphic imaging; it shows that reticle CD errors have 2x larger impact for vertical compared to horizontal features. For dark field horizontal two-bar trenches relatively small mask induced focus shift was observed compared to the 0.33NA case, probably due to the relatively small Mask Angle of Incidence in the Y orientation with the 8x magnification. Finally a Ni type absorber has potential to further improve imaging performance.
New design solutions are available for high-NA EUV optics, maintaining simultaneously superior imaging performance and productivity below 9nm resolution by means of anamorphic imaging. We investigate the imaging properties of these new optics configurations by rigorous simulations, taking into account mask induced effects as well as characteristics of the new optics. We compare the imaging behavior to other, more traditional optics configurations, and show that the productivity gain of our new configurations is indeed obtained at excellent imaging performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.