Extreme ultra violet lithography is one of the most promising technologies for next-generation and already applied to critical layers for imaging 7-nm node and beyond. On the other hand, immersion ArF (iArF) lithography also continues to be applied to some critical layers by utilizing Multiple Patterning (MP). High accurate overlay control is required to reduce Edge Placement Error (EPE). In general, global errors on mask such as Critical Dimension Uniformity (CDU) and Image Placement (IP) are known as critical factors affecting EPE. Recently, the local variations on wafer are also discussed as non-negligible factors, especially for advanced technology node. Local CDU (LCDU) is one of the most typical local variations, therefore its requirements are getting more severe. In this paper, the mask impact on wafer LCDU in ArF lithography was investigated. In order to characterize the mask contribution, we designed the mask which has the patterns with various mask LCDU and lithographic performances. According to these evaluations, it was confirmed that mask LCDU, Normalized Image Log Slope (NILS) and Mask Error Enhancement Factor (MEEF) are major contributors to wafer LCDU. Based on the results, we explored wafer LCDU improvement by mask optimization and demonstrated its benefit on wafer.
As semiconductor device design shrinks, technology requirement for wafer pattern resolution is getting more severe. Requirement for mask pattern resolution is also getting severer due to increase in complexity of mask pattern design. Mask pattern resolution is mainly determined by resist pattern resolution, and adhesion work is known as one of the factors that affects resist resolution. In this paper, the impact of adhesion work on mask resolution was investigated for various hard mask layer (HM). Adhesion work of Ta-base HM is better than Si and Cr-base HM, and resist line resolution on Ta-base HM is better than Si and Cr-base HM. Therefore, ArF attenuated phase shift mask blanks with thin Ta and Ta/Si HM were developed. Line edge roughness (LER) of thin Ta and Ta/Si HM are almost the same as Si-base HM. On the other hand, etching bias uniformity and final line resolution of Ta/Si HM are better than thin Ta HM. Final line resolution of Ta/Si HM is better than Si-base HM. Final space resolution of Ta/Si HM was improved to the same level as Si-base HM with adjustment of HM etching recipe. These evaluation results indicate that the new Ta/Si HM can be a strong candidate capable of enhancing mask resolution on advanced masks for both logic and memory devices.
ArF lithography is still applied to the majority of critical layers, even with increasing of extreme ultraviolet lithography in leading-edge production. As wafer design shrinks, conventional 6% phase shift mask (PSM) becomes hard to meet the ArF lithography requirements especially for array dot on mask (hole on wafer). Therefore, transmission dependency was evaluated by mask 3D simulation, and it was found that 30% transmission has the best lithographic performances for array dot. Based on these results, mask blank and mask making process for new 30% PSM were developed. Wafer printability test using negative tone development demonstrated that new 30% PSM has better process window and mask error enhancement factor (MEEF) than conventional 6% PSM for array dot (hole on wafer). To investigate further application of new 30% PSM, lithography performances of various patterns were evaluated by mask 3D simulation and aerial image measurement system (AIMSTM). The results indicated that new 30% PSM has larger lithography margin than 6% PSM for iso dot, iso line and logic pattern. Additionally, wafer printability test demonstrated that new 30% PSM has better process window than 6% PSM for iso dot. Defect control is also an important factor in high volume manufacturing. Therefore, it is necessary to evaluate the repairability and printability of the defects on new 30% PSM. We repaired various types of defects by electron-beam repair tool and confirmed the repairability by AIMS. And the defect printability of new 30% PSM and 6% PSM to critical dimension (CD) on wafer was evaluated by program defect mask that has pin dot, extrusion and intrusion defects.
Even with the increase in need for next-generation lithography, immersion ArF lithography is still applied to the majority of critical layers. However, as circuit designs shrink, conventional 6% phase-shift mask (PSM) will become difficult to meet the lithography requirements for dense dot pattern compared to dense line pattern. To enhance immersion ArF lithographic performances for dot pattern, high-transmission PSM (High-T PSM) is attracting attention because the transmission of PSM has a significant impact on lithographic performances. From results of transmission dependency evaluated by mask three-dimensional (3D) simulation, it was found that 30% transmission has the best lithographic performances for dense dot. Based on these results, mask blank and mask making process for the new 30% PSM were developed. The results showed good cross-section profile, mask pattern resolution, and defect repairability. In addition, the durability against chemical cleaning and ArF irradiation were also improved. Wafer printability test using negative tone development demonstrated that new PSM has advantages in process window and mask error enhancement factor for dense dots (holes on wafer). Finally, the potential for further application of new PSM was investigated by mask 3D simulation. The results showed that new PSM has lithographic benefits not only for dense dots but also other patterns.
Even with the increasing need for next-generation lithography, ArF lithography is still applied to the majority of critical layers. However, as wafer design shrinks, conventional 6% phase shift mask (PSM) becomes unable to sufficiently meet the lithography requirements for dense dot pattern compared to dense line pattern. To enhance ArF lithographic performances for dot pattern, high-transmission phase shift mask (High-T PSM) is attracting attention because the transmission of PSM has a significant impact on lithographic performances[1-4]. From the evaluation results of transmission dependency by mask 3D simulation, it was found that 30% transmission has the best lithographic performances for dot pattern. Based on these results, mask blank and mask making process for the new 30% PSM were developed. The result showed good cross-section profile, mask pattern resolution and defect repairability. In addition, the durability against chemical cleaning and ArF irradiation were also improved. Wafer printability test using negative tone development demonstrated that new PSM has advantages in process window and MEEF for dense dots (holes on wafer). Finally, the potential for further application of new 30% PSM was investigated by mask 3D simulation. The results showed that new 30% PSM has lithographic benefits not only for dense dots but also for other patterns. The new 30% PSM is a strong candidate capable of enhancing ArF lithographic performances for 5nm node or hp 1Xnm and beyond.
193nm immersion lithography is the mainstream production technology for the 20nm and 14nm logic nodes.
Considering multi-patterning as the technology to solve the very low k1 situation in the resolution equation puts
extreme pressure on the intra-field overlay, to which mask registration error is a major error contributor. The
International Technology Roadmap for Semiconductors (ITRS) requests a registration error below 4 nm for each
mask of a multi-patterning set forming one layer on the wafer. For mask metrology at the 20nm and 14nm logic
nodes, maintaining a precision-to-tolerance (P/T) ratio below 0.25 will be very challenging. Mask registration error
impacts intra-field wafer overlay directly and has a major impact on wafer yield. We will discuss a solution to
support full in-die registration metrology on reticles.
A requirement for CD control on wafer is increasing with shrinking design rule[1]. This is especially true for dense
contacts because of higher MEEF. It is considered that contact mask LER impact on lithographic performance is
comparatively large. Nevertheless, a relationship between contact mask LER and wafer performance has not been
evaluated in recent years.
Therefore we studied contact mask roughness impact on wafer in order to determine specs for improvement of
mask quality. We assumed the thin MoSi binary mask which was called Opaque MoSi On Glass (OMOG). The
programmed roughness patterns data for 28 nm nodes was made. The frequency and depth of roughness was
changed. In addition, we also drew bump patterns. A lithography simulator was used to investigate which kind of
mask roughness impacted significantly on wafer. We compared the difference between wafer experiment and
simulation. Finally a relationship between contact mask roughness and lithographic performance was obtained.
The mask error budget continues to shrink with shrinking DRAM half pitch and MPU gate size year by year. The ITRS
roadmap calls for mask CDU to be cut in half by 2014[1]. Both mask maker and mask user must take advantage of
various mask properties, OPC strategies and resolution enhancement techniques to drive improvements. Mask material
selection impacts both lithographic performance and mask manufacturability. In turn mask material properties and
manufacturing techniques impact our ability to meet the technology roadmap. Studies have shown the advantages of
polarized light[2,3] as well as the impact of various mask materials on high NA lithography[4]. In this paper we select the
recently introduced binary mask material made from a MoSi absorber called Opaque MoSi On Glass (OMOG) for
comparison with the conventional 6% att. PSM and 20% att. MoSi PSM. Through simulation and wafer prints, we
optimized mask feature from viewpoint of MEEF and maximum exposure latitude (EL). The MoSi att. PSMs suffer from
higher MEEF, which is attributed to the negative effect of TE polarization for mask duty cycle of 50% for 50 nm half
pitch and below. Therefore a lower mask duty cycle is required for att. PSM to bring the MEEF performance back to
acceptable levels. Experimental results confirm simulation results. As a result of the lower mask duty cycle, the att. MoSi
PSMs exhibit poor Sub Resolution Assist Feature (SRAF) printability. On the contrary, the MoSi binary mask delivers
both acceptable MEEF and acceptable SRAF printing performance. Moreover, we found that the mask structure impact
of OMOG to wafer CD is smallest among three masks. OMOG gives the best combination of lithographic performance
and delivery compared to the MoSi att. PSMs.
For 45nm and 32nm node technology, the challenges for resolution and CD control of mask patterns become the steeper
mountain path. Especially, Sub Resolution Assist Feature (SRAF) is the smallest pattern on mask and amplifies the
difficulty of mask fabrication. In order to improve the resolution of fine patterns, the influence of wet processing cannot
be neglected, because it causes the pattern collapsing. Wet processing of mask-making can be divided into resist
development and cleaning.
In this study, the root causes of pattern collapsing are investigated at each wet processing. It is confirmed that thin resist
can enhance the resolution limit of resist pattern and hard-mask blank, such as OMOG: Opaque MoSi On Glass, is
suitable for thinner resist under 1500A. The pattern collapsing of OMOG is compared with that of Att.PSM at the
cleaning before and after Cr stripping. Mask inspection finds that pattern collapsing can be suppressed by OMOG at both
cleanings. It is because OMOG has lower cleaning stress than Att.PSM due to lower aspect-ratio. This benefit is
demonstrated by cleaning stress simulation. Additionally, it is found that the SRAF size of OMOG can be wider than
Att.PSM by optical simulation. From these results, OMOG has much advantage of fine pattern fabrication and is the
optimal blank for 32nm node and beyond.
The development of a process for contact hole tends to be overdue although priority is generally given to the development of line and space patterns. The size of a contact hole pattern in 45 nm node will be about 60 nm. 157-nm lithography will be applied to 45 nm node. The depth of focus is critical issue. Then we evaluated three types of contact hole shrinkage techniques such as Thermal Flow, SAFIER and RELACS for 157-nm lithography. A resist which was consisted of a polymer with fluoride on a side chain was used. The shrinkage temperature was optimized by applying hole shrinkage processes to patterns formed by 193-nm lithography. It was made clear that Thermal Flow process was not practical in the view point of extremely high shrinkage temperature. On the other hand, hole size was reduced by about 20 nm in the case of SAFIER and RELACS at controllable temperature. It is an advantage of SAFIER process that hole size is changed with shrinkage bake temperature. In RELACS process, small mixing temperature dependence of critical dimension (CD) is advantageous. Also in 157-nm lithography, it was shown that the shrinkage techniques such as SAFIER and RELACS are possible, and the about 75nm hole pattern was formed.
Various resists, which consisted of polymer systems, such as methacrylate, acrylate and cycloolefin/maleic anhydride (COMA), were investigated in the viewpoint of etching resistance, lithographic performance and shelf life. The oxide etching rate was in order of acrylate < methacrylate << COMA. The surface roughness of the acrylate type resist after oxide etching was the smallest among all samples. The methacrylate type resist showed high resolution capability as a line and space resist. On the other hand, pattern collapse was observed in the acrylate type resist, and low resolution was shown in the COMA type resist because of the large resist thickness loss. In the case of contacts, the acrylate type resist showed better linearity. The sensitivity of the acrylate and methacrylate type resists kept at room temperature did not changed in 20 days, while the sensitivity of the COMA type resist changed. It was found that the methacrylate type resist was the most suitable as a line and space resist and the acrylate type resist was the most promising as a contact hole resist.
We have investigated the requirements for resist materials in via-first dual damascene copper processes. We first patterned vias on a dielectric stack, and then, after via etching and stripping, we formed metal trench patterns using KrF/ArF lithography. A bottom anti-reflective coating (BARC) was used to fill the vias prior to the resist coating in order to protect the bottom of the vias during the trench etching. Trench patterns were formed on the BARC using chemically amplified resists. We found that resists with lower transparency and a lower maximum development rate (Rmax) were not developed in the vias on the partially filled BARC. When the trench patterns were etched with resist residue in the vias, severe fence defects were observed around the via openings at the bottom of the trenches. These defects cannot be removed by dry or wet stripping. Complete removal of the resists in the vias prevented the formation of fences. Simulation of the resist profile showed the use of resists with high transparency and a high Rmax prevented the accumulation of resist residue in the vias. A KrF resist formulated with higher transparency and a higher Rmax was completely developed even in the vias, so that trench patterns without the fences were formed after trench etching.
This paper describes the effects of the blocking level of polymer on both dissolution characteristics and lithographic performance in chemically amplified positive 193 nm resists consisting of a alicyclic methacrylate polymer and a photoacid generator. There were clear relationships between the blocking level and both the dissolution rate characteristics and the lithographic performance. We found that the dissolution contracts and developer selectivity improved as the blocking level of polymer increased, and then the resolution capability was improved. However, the dry-etch resistance and adhesion property of the resist film deteriorated as the blocking level increased, although these were at the same levels as those of conventional i-line novolak resist or polyhydroxystyrene base KrF resists. Therefore, these resist materials show potential for the next generation of LSI devices. Ideal dissolution parameters for improving the resolution capability were obtained by using a resist profile simulator. By using a high-contrast resist incorporating these parameters, and by using a higher numerical aperture lens and annular illumination, the mass production of next-generation devices with a 0.12 micrometers design rule can be achieved.
Deblocking reaction mechanisms and lithographic performance in chemically amplified positive ArF resists were investigated by analyzing acid concentration and blocking level. The resists consisted of triphenylsulfonium triflate as a acid generator and either the copolymer, poly(carboxy- tetracyclododecyl methacrylate70-co- tetrahydropyranylcarboxy-tetracyclododecyl methacrylate30) or the terpolymer, poly(tricyclodecylacrylate60- co-tetrahydropyranylmethacrylate20-co-methacrylic acid20). The deblocking reaction mechanisms were evaluated from Arrhenius plots of the deblocking reaction rate constant. It was found that the deblocking reaction of both resists is ruled by two rate-determining steps, i.e., reaction-controlled in the low-temperature region and acid- diffusion-controlled in the high-temperature region. Furthermore, the copolymer resist had better post-exposure- delay (PED) stability. To clarify this result, acid loss caused by air-born contamination effect on deblocking reaction was investigated. The change of amount of blocking group by acid loss was small for the copolymer. Therefore the copolymer resist had better PED stability. Furthermore, the post-exposure bake (PEB) sensitivity of linewidth of the copolymer resist was smaller than that of the terpolymer resist. Both deblocking reaction rate constant and reverse reaction rate constant of the copolymer resist increased with PEB temperature. As a result, equilibrium constant of the copolymer was not valuable with temperature. This is the reason why the copolymer resist has low PEB sensitivity. It is concluded that small acid loss effect on deblocking reaction induces better PED stability. A resist with reverse reaction has an advantage for PEB temperature sensitivity.
The effects of acid structures and blocking groups in chemically amplified resists on compatibility with bottom anti-reflective coatings (BARCs), were investigated. The resists consisted of tert-butoxy carbonyl (t-BOC) or acetal blocked polyhydroxystyrene with three types of photoacid generators (PAGs) which generate trifluoromethanesulfonic acid (acid 1), 2,4-dimethyl benzensulfonic acid (acid 2) and cyclohexanesulfonic acid (acid 3). Three types of commercially available BARCs, Brewer Science CD9, DUV11 and DUV18 were used for this study. CD9 was decomposed by exposure and generated an acid substance, which induced the necking at the bottom of the resist films. In the case of DUV11, the generated acid from the PAG was neutralized, and footing was observed in t-BOC type resists. Acetal type resists had no footing on DUV11 because the deblocking reaction progressed without post-exposure baking. DUV18 had good compatibility with most of the resist materials because of its neutral acidity. From the viewpoint of resist materials, it was found that the acetal type resists tended to have necking, because the deblocking reaction occurred at lower acid concentration compared with t-BOC type resists. Moreover, the tendency to have a necking profile, in increasing order, was acid 3 > acid 2 > acid 1. This order corresponded with the reverse order of the efficiency of the deblocking reaction. A weak acid might be greatly affected by some substance diffused from a BARC. The acetal type resist with acid 1 had excellent compatibility with various BARCs. However, the resolution capability of the acetal type resist with acid 1 was lower than that of the acetal type resist with acid 3, because the acid diffusion length of acid 1 was larger than that of acid 3. It was concluded that good compatibility of the resist with the BARC is achieved by the high deblocking reaction efficiency and moderate diffusion length of acid in acetal type resists.
Tetrakis(4-carboxyphenyl)porphine (TCPP), which is well known as a photochemical hole burning (PHB) dye, was incorporated in amorphous silica materials (a-SiO2) by a sol-gel process with using tetramethoxysilane (TMOS) and aminopropyltriethoxysilane (APTES). With using APTES as precursor of silica gel, TCPP was cross-linked to a-SiO2 matrix through aminopropyl group of APTES. The cross-linkage of TCPP and APTES was confirmed by the FT-IR measurement. The TCPP incorporated in a-SiO2 matrices showed activity in PHB. In the TCPP cross-linked a-SiO2, properties of photochemical hole, such as hole width, quantum efficiency and irreversible broadening under cycle annealing experiment, were improved. A burnt hole at 3.6 K was observed after cycle annealing experiment up to 80 K in the TCPP cross-linked a- SiO2.
Deblocking reaction mechanisms and lithographic performance in chemically amplified positive KrF resist were investigated by analyzing acid concentration and blocking level. The resists consist of tetrahydropyranyl (THP) or tert-butoxycarbonyl (t- BOC) blocked polystyrene as the base resin and 2,4- dimethylbenzenesulfonic acid derivative as a photoacid generator (PAG). The deblocking reaction mechanisms and activation energy of the deblocking reaction were evaluated from Arrhenius plots of the deblocking reaction rate constant kd. It was found that the deblocking reaction is ruled by two rate-determining steps; it is reaction-controlled in the low-temperature region and acid-diffusion-controlled in the high-temperature region. The activation energy of THP blocked resists (THP resists) in the low-temperature region was lower than that of the t-BOC blocked resists (t-BOC resists). The THP groups were deblocked even at room temperature. Then the THP resist was hardly affected by air contamination. This is one of the reasons why the THP resist had good PED stability. Moreover, the linewidth difference between the isolated line and the dense line (iso-dense bias) of the THP resist was much larger than that of the t-BOC resist. It was concluded that the resist with a high deblocking reaction rate at room temperature had a clear advantage for PED stability, and that the activation energy of the deblocking reaction should be high at PEB (post-exposure bake) temperature to reduce iso- dense bias.
The lithographic performance of a chemically amplified resist system very much depends on the photo-generated acid structure. In a previous paper, we reported the molecular structure dependence of two typical photo-generated acids (aromatic sulfonic acid and alkyl sulfonic acid) from the viewpoints of lithographic performance and acid characteristics such as acid generation efficiency, acid diffusion behavior and acid evaporation property. In this paper, we evaluate the effect of the remaining solvent in a resist film on the acid evaporation property. Four types of two-component chemically amplified positive KrF resists were prepared consisting of tert-butoxycarbonyl (t-BOC) protected polyhydroxystyrene and sulfonic acid derivative photo-acid generator (PAG). Here, a different combination of two types of PAGs [2,4-dimethylbenzenesulfonic acid (aromatic sulfonic acid) derivative PAG and cyclohexanesulfonic acid (alkyl sulfonic acid) derivative PAG] and two types of solvents (propylene glycol monomethyl ether acetate; PGMEA and ethyl lactate; EL) were evaluated. The aromatic sulfonic acid was able to evaporate easily during post exposure bake (PEB) treatment, but the alkyl sulfonic acid was not. The higher evaporation property of aromatic sulfonic acid might be due to the higher vapor pressure and the longer acid diffusion length. Furthermore, the amount of aromatic sulfonic acid in the PGMEA resist was reduced by more than that in the EL resist. The amount of acid loss also became smaller at a higher prebake temperature. The concentration of the remaining solvent in the resist film decreased with the increasing prebake temperature. We think that the acid evaporation property was affected by the remaining solvent in the resist, film; the large amount of remaining solvent promoted the acid diffusion and eventually accelerated the acid evaporation from the resist film surface in the PGMEA resist. In summary, the acid evaporation property depends on both the acid structure and the remaining solvent in the resist film. These results can be applied to other chemically amplified resist systems to suppress the T-topping profile and achieve a superior resist performance.
In order to apply single layer resist processing to 0.25-micrometer patterning, the effect of topography was studied in KrF excimer laser lithography, using a two-dimensional resist profile simulator with vector model. In particular, we simulated resist transmittance dependence on depth of focus (DOF) and halation, by considering a conventional (non- bleaching type) DUV chemically amplified positive resist. Here, we varied the step angle of the topographic substrate (height 0.1 micrometer) and the distance between step and resist pattern. Moreover, we investigated the influence of two optical resist characteristics, photo- bleaching and photo-coloring, from the viewpoint of halation reduction. For a highly reflective substrate such as polysilicon, the optimum transmittance (DOF greater than or equal to 1.0 micrometer) of the non-bleaching type resist with a resist thickness of 0.7 micrometer was determined to be 40 - 50%. In such a non-bleaching type resist, a good profile was obtained when the distance between the step and the resist pattern edge was more than 0.3 micrometers. Moreover, it was found that photo-coloring in the resist film was effective for halation reduction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.