In this paper, patterning challenges that led to the fabrication of a first Spin Torque Majority Gate (STMG) device are explored. We have highlighted key process module developments from the Magnetic Tunnel Junctions (MTJs) pillar patterning to dual damascene scheme wiring module. Spin devices such as STMG have already been proposed as a replacement for conventional CMOS transistors. The main challenge to their experimental demonstration remains the successful fabrication of connected MTJs through a ferromagnetic layer, allowing spin transport across the gate. We propose a new etching approach utilizing Ion Beam Etching (IBE), to be able to pattern the MTJs with high precision and with less damage to the magnetic layers. Furthermore, we have introduced Electron-beam lithography to further scale down the device geometries. This development paves the way towards a fully integrated STMG device for Spin Logic applications.
The read performance of a spin-transfer torque magnetic random-access memory device is based on the tunnel magnetoresistance of the magnetic tunnel junction cell, which is a function of the resistance values at low and high resistance states of the magnetic layers. To ensure a robust tunnel magnetoresistance value and high yield, magnetic tunnel junction pillar patterning process should have a good local critical dimension uniformity. In this paper, we screen several patterning techniques, such as dry development rinse material-based tone reversal besides the standard patterning, as well as different resists and underlayer materials to improve the local critical dimension uniformity at 50nm pitch extreme ultraviolet pillar printing. The results of the best litho process obtained show an improvement above 20% for the local critical dimension uniformity performance. The performance metrics such as the process windows analysis, pillar circularity and the critical dimension uniformity have also been checked for the promising litho process options. Moreover, the transfer of the post-litho improvements to the etch process have been checked and qualified after several layers of hardmask etch.
In this paper proof-of-principle demonstrations of spin-on carbon (SOC)/spin-on glass (SOG)-based lithography processes which could replace standard patterning stacks within the FEOL for upcoming advanced nodes like N10/N7 are presented. At these dimensions the standard lithography approaches that have been utilized within the previous nodes will begin to run into fundamental limitations as a result of the extremely high aspect ratios of the device topography, requiring both new materials as well as new patterning flows in order to allow for continued device scaling. Here, novel SOC/SOG-based patterning flows have been demonstrated which could be applied to implement Source Drain Extension implantations and epitaxial growth processes for CMOS FinFET device architectures even down at N10/N7 dimensions.
KEYWORDS: Optical lithography, Overlay metrology, Process control, Error analysis, Semiconductors, Error control coding, Wafer inspection, Inspection, Manufacturing, Semiconducting wafers, System on a chip, Etching, Device simulation, Plasma etching, Scanning electron microscopy, Tin
We discuss edge placement errors (EPE) for multi-patterning of Mx critical layers using ArF lithography. Specific focus is placed on the block formation part of the process. While plenty of literature characterization data exist on spacer formation, only limited published data is available on block processes. We analyze the accuracy of placing blocks relative to narrow spacers. Many publications calculate EPE assuming Gaussian distributions for key process variations contributing to EPE. For practical reasons, each contributor is measured on dedicated test structures. In this work, we complement such analysis and directly measure the EPE in product. We perform high density sampling of blocks using CDSEM images and analyze all feature edges of interest. We find that block placement errors can be very different depending on their local design context. Specifically we report on 2 block populations (further called block A and B) which have a 4x different standard deviation. We attribute this to differences in local topography (spacer shape) and interaction with the plasma-etch process design. Block A (on top of the ‘core space’ S1) has excellent EPE uniformity of ~1 nm while block B (on top of ‘gap space’ S2) has degraded EPE control of ~4 nm. Finally, we suggest that the SOC etch process is at the origin on positioning blocks accurately on slim spacers, helping the manufacturability of spacer-based patterning techniques, and helping its extension toward the 5nm node.
With emerging technologies, such as fin-based field-effect transistors (finFETs), the structures, which define the
functionality of a device, have added one dimension in the patterning and are now three-dimensional. Lithography for
CMOS patterning becomes more complicated for finFETs given the three-dimensional substrate structure, and the resist
modeling targeting this issue is yet to be fully investigated. Here, we present lithographic simulations on topography
relevant for finFET devices compatible with nodes down to 10 nm. We investigate the influence of different materials
and of the additional optical complexity due to the topography and density of the gates and fins.
193-nm compatible photoresists are turning out to be the new platform for implant lithography, due to the increasing requirements in both resolution and overlay. Shrinkage of such resists is becoming progressively the most topical issue for aggressive nodes, where conventional pretreatments from older resist platforms, such as ultraviolet flood exposures, are not directly transferable to (meth-)acrylate-type resists. The precuring options available for state-of-the-art implant photoresists for 193-nm lithography is explored, in which we target to reduce the shrinkage during implantation for trenching critical dimensions (CDs) that are relevant for nodes <20 nm . An extensive study comprising different approaches, including laser-, ion-, and electron-based treatments, is presented. Each treatment is individually investigated with the aim to find not only a valid pretreatment for shrinkage control during implantation, but also to understand what effect alternative pretreatments have on the morphology and the CDs of thick photoresists used as implant stopping layers. Viable options for further process optimization in order to integrate them into device process flows are found. To this extent, the shrink behavior after pretreatment is shown, and the additional shrink dynamics after implantation are compared.
Polymeric photoresists are readily being used as the stopping layer for ions during implantation processes in manufacturing of integrated circuitry. In order to be compatible for standard optical lithography with deep ultraviolet exposures, the state-of-the-art resists are chemically amplified; as they are for photoresists for etch patterning. Partially deprotected, including patterned, photoresists contain a range of small molecular weight species that are prone to escape the resist if the resist was to be irradiated by additional UV-light, electron beams or ion bombardment. For implant processes in device integration this is becoming progressively the most topical issue for aggressive nodes, where 193 nm compatible resists are progressively turning out to be the new platform for implant lithography. These will shrink significantly during the ion implantation and subsequently produce undesired doping gradients on a length scale comparable to the target feature width. In addition, conventional UV-flood exposure that is common for 248 nm resist platforms is not directly transferrable to 193 nm resists. In this paper, we explore the precuring options available for state-of-the-art implant photoresists for 193 nm lithography, in which we target to reduce the shrinkage during implantation for trench critical dimensions that are relevant for nodes below 20 nm. We present an extensive study comprising of different approaches, including laser-, ion- and electronbased treatments. Each treatment is individually investigated with the aim not only to find a valid pretreatment for shrinkage control during implantation, but also to fundamentally understand what effect alternative pretreatments have on the profile and dimensions of thick photoresists used as implant stopping layers. We find that there are viable options for further process optimization in order to integrate them into device process flows. To this extent, we show the shrink behavior after pretreatment and compare the additional shrink dynamics after implantation.
As Extreme Ultra Violet technology (EUV) is being introduced, multilayer hard mask patterning becomes a key option
in order to transfer the lithographic patterns into the circuit stack. In particular, spin-on multilayers can play a decisive
role on the process roadmap as a more cost-effective solution than Chemical Vapour Deposition options. The integration
of spin-on hard masks in EUV technology nevertheless requires these products to be EUV-outgassing friendly. In
addition to this, the spin-on solutions must withstand the demanding photoresist and circuit stack aspect ratios during
patterning. This paper presents the EUV process development for contacted metal lines with 30nm half-pitch dimensions
in a dual damascene application. The performance of an all-spin-on multilayer system composed of an EUVphotosensitive
layer, an organic underlayer, a silicon-rich middle layer and a carbon-rich bottom layer is demonstrated.
Firstly, outgassing of the various polymer layers in vacuum is a critical parameter to control since it can directly impact
the EUV-tool-optics lifetime. The qualification, selection and process optimisation of different materials for use in the
ASML NXE:3100 EUV scanner are shown by interpreting Residual Gas Analysis data. The outgassed species for
different types of layers are compared. In this study, the shielding effect of the top layers on the outgassing of the layers
underneath is quantified. The influence of the layer composition is also discussed.
Secondly, the lithographic performance of the 30nm half-pitch process on the NXE:3100 is characterized with process
windows and profile control using the IMEC process-of-reference. The CD uniformity results within wafer and across
wafer-batches are used to demonstrate the process maturity.
Finally, considering the patternability of the EUV process, we demonstrate the ability of the all-spin-on multilayer
system to planarize over the challenging dual damascene topography. To conclude on the potential of this scheme, we
describe the etched dual damascene patterns into a dielectric stack which is representative for the 30nm half pitch
technology node.
The demand for ever shrinking semiconductor devices is driving efforts to reduce pattern dimensions in semiconductor
lithography. In this work, the aim is to find a single patterning litho solution for a 28nm technology node using 193nm
immersion lithography. Target poly pitch is 110nm and metal1 pitch is 90nm. For this, we have introduced a range of
different techniques to reach this goal. At this node, it becomes essential to include the layout itself into the optimization
process. This leads to the introduction of restricted design rules, together with the co-optimization of source and mask
(SMO) and the use of customized illumination modes (freeform illumination sources; FlexrayTM). Also, negative tone
development (NTD) is employed to further extend the applicability of 193nm immersion lithography. Traditionally, the
printing of contacts and trenches is done by using a dark field mask in combination with a positive tone resist and
positive tone development. The use of negative tone development enables images reversal. This allows benefiting from
the improved imaging performance when exposing with bright field masks. The same features can be printed in positive
tone resists and with improved process latitudes.
At the same time intermediate metal (IM) layers are used to connect the front-end and back-end-of-line, resulting in huge
area benefits compared to layouts without these IM layers. The use of these IM layers will not happen for the 28nm
node, but is intended to be introduced towards the 20nm node, and beyond. Nevertheless, the choice was made to use this
architecture to obtain a first learning cycle on this approach.
In this study, the use of negative tone development is explored, and its use for the various dark field critical layers in a
28nm node process is successfully demonstrated. In order to obtain sufficiently large process windows, structures are
printed larger than the designed target CD. As a consequence, a shrink of the structures needs to be applied to obtain the
target CD after etch. Different shrink approaches are compared. Final results on wafer are discussed, focusing on critical
layers as IM1, IM2, Via0 and Metal1.
Today, 22nm node devices are built using 193nm immersion lithography, possibly combined with double patterning
techniques. Some stretch till the 16nm node is feasible here, using double, triple or even quadruple patterning.
Alternatively, extreme ultra violet (EUV) lithography is showing promising results, and is considered to be the most
likely option for this last mentioned device node. Electrically functional 22nm node devices are already available, where
EUV lithography is used for the definition of the back-end layers. Fewer results are published on the patterning of front-end
layers using EUV lithography.
In this work, EUV lithography is used for the patterning development of the first four critical layers (active or fin, gate,
contact and metal1) of a 16nm node 6T-SRAM cell. For the first time, front-end layers will need to be printed, with
EUV, and transferred into an underlying substrate. The need for optical proximity correction is checked and
characterized for all layers.
In this study, the principle of the resist loss measurement method proposed in our previous paper[1] was verified. The technique proposes the detection of resist loss variation using the pattern top roughness (PTR) index determined by scanning electron microscope images. By measuring resist loss with atomic force microscope, we confirmed that the PTR showed a good correlation with the resist loss and was capable of detecting variations within an accuracy of 20 nm for the evaluated sample. Furthermore, the effect of PTR monitoring on line width control was evaluated by comparing the error in line width control after eliminating undesirable resist loss patterns to that of conventional line width monitoring. The error of line width control was defined as the deviation range in post-etch line widths from post-litho values. Using PTR monitoring, the error in line width control decreased from 10 nm to less than 3 nm, thus confirming
the effectiveness of this method.
In this research, we improved litho process monitor performance with CD-SEM for hyper-NA lithography. First, by
comparing litho and etch process windows, it was confirmed that litho process monitor performance is insufficient just
by CD measurement because of litho-etch CD bias variation. Then we investigated the impact of the changing resist
profile on litho-etch CD bias variation by cross-sectional observation. As a result, it was determined that resist loss and
footing variation cause litho-etch CD bias variation. Then, we proposed a measurement method to detect the resist loss
variation from top-down SEM image. Proposed resist loss measurement method had good linearity to detect resist loss
variation. At the end, threshold of resist loss index for litho process monitor was determined as to detect litho-etch CD
bias variation. Then we confirmed that with the proposed resist loss measurement method, the litho process monitor
performance was improved by detection of litho-etch CD bias variation in the same throughput as CD measurement.
With immersion lithography approaching the insertion in production, watermarks remain as one of the main concerns for
immersion specific defects. They require special attention because of their size and associated high kill-ratio, and their
increasing occurrence at higher scan speeds. IMEC has been working to understand the underlying mechanism of why
remaining water droplets cause these defects.
This work focuses on water uptake measurements and how this parameter correlates to watermark defectivity.
Ellipsometric Porosimetry (EP) is used to measure the water uptake tendencies of resist and top coat materials and stacks
thereof, and investigate what parameters are affecting it. The influence of material and process parameters and the
presence of a top coat on water uptake by the resist are evaluated. In parallel, the quartz crystal microbalance (QCM)
technique has been used as an alternative option to measure the water uptake. Though a one-to-one comparison between
the results is not straightforward, the main trends are identical for both techniques.
No perfect correlation of watermark defectivity with water uptake has been found in this study. Nevertheless, the results
show a tendency towards higher watermark sensitivity with higher water uptake by the film. It is recognized that the total
watermark defectivity is most probably a complex interplay of different parameters with water uptake being only one of
them.
Various approaches can be used to quantify line width roughness (LWR). One of the most commonly used estimators of LWR is standard deviation . However, a substantial amount of information is ignored if only is measured. We use an automated approach to investigate LWR, where standard deviation, correlation length, and power spectrum are measured online on critical dimension scanning electron microscopes. This methodology is used to monitor LWR, investigate the effect of LWR on critical dimension precision, and to benchmark new resists for immersion lithography. Our results indicate that online LWR metrology is a critical tool in a variety of applications, including but not restricted to process control.
Since the moment immersion lithography appeared in the roadmaps of IC manufacturers, the question whether to use top coats has become one of the important topics for discussions. The top coats used in immersion lithography have proved to serve as good protectors from leaching of the resist components (PAGs, bases) into the water. However their application complicates the process and may lead to two side effects. First, top coats can affect the process window and resist profile depending on the material's refractive index, thickness, acidity, chemical interaction with the resist and the soaking time. Second, the top coat application may increase the total amount of defects on the wafer. Having an immersion resist which could work without the top coat would be a preferable solution. Still, it is quite challenging to make such a resist as direct water/resist interaction may also result in process window changes, CD variations, generation of additional defects. We have performed a systematic evaluation of a large number of immersion resist and top coat combinations, using the ASML XT:1250Di scanner at IMEC. The samples for the experiments were provided by all the leading resist and top coat suppliers. Particular attention was paid to how the resist and top coat materials from different vendors interacted with each other. Among the factors which could influence the total amount of defects or CD variations on the wafer were: the material's dynamic contact angle and its interaction with the scanner stage speed, top coat thickness and intermixing layer formation, water uptake and leaching. We have examined the importance of all mentioned factors, using such analytical techniques as Resist Development Analyser (RDA), Quartz Crystal Microbalance (QCM), Mass Spectroscopy (MS) and scatterometry. We have also evaluated the influence of the pre- and pos- exposure rinse processes on the defectivity. In this paper we will present the data on imaging and defectivity performance of the resists with and without the use of top coats. So far we can conclude that top coat/resist approach used in immersion lithography needs some more improvements (i.e. process, materials properties) in order to be implemented in high volume manufacturing.
As we move forward to the 45 and 32nm node, MuGFET's (Multi-Gate Field-Effect Transistor) are considered more
and more as a necessary alternative to keep pace with Moore's Law. If proven manufacturable, MuGFET's could
eventually replace conventional CMOS transistors within a few years. The ability to perform proper and extensive
metrology in a production environment is then essential. We investigate here some of the requirements of MuGFET
metrology. Accuracy and line width roughness (LWR) metrology will play an essential role, because the small
dimension of the features involved. 3D metrology is required when dealing with non-planar devices. Sophisticated
check of optical proximity correction (OPC) is needed in order to ensure that the design is respected. We propose here
some possible solutions to address the needs of MuGFET metrology in a production-worthy fashion. A procedure to
calibrate CDSEM to TEM for accuracy is developed. We performed LWR metrology of fins in a fully automated way
by using CDSEM, while the 3D information is obtained by means of scatterometry. Finally, we will discuss the
application of design-based metrology (DBM) to MuGFET OPC validation.
This paper describes a method to measure the dynamic behavior of resist leaching in the time domain that is relevant for immersion lithography. The total leaching amount as a function of the contact time between water and resist is obtained and successfully fitted using previously described kinetic equations. In this way valuable information is obtained for the understanding of the contribution of resist leaching to lens contamination, CD uniformity and defectivity. The procedure is further used to study the effectiveness of various leaching mitigation strategies. Top coats prove to be a very effective method to reach the leaching specifications of the tool vendors. Also immersion dedicated resist materials meet the specifications or come very close.
Defectivity has been one of the largest unknowns in immersion lithography. It is critical to understand if there are any immersion specific defect modes, and if so, what their underlying mechanisms are. Through this understanding, any identified defect modes can be reduced or eliminated to help advance immersion lithography to high yield manufacturing. Since February 2005, an ASML XT:1250Di immersion scanner has been operational at IMEC. A joint program was established to understand immersion defectivity by bringing together expertise from IMEC, ASML, resist vendors, IC manufactures, TEL, and KLA-Tencor. This paper will cover the results from these efforts. The new immersion specific defect modes that will be discussed are air bubbles in the immersion fluid, water marks, wafer edge film peeling, and particle transport. As part of the effort to understand the parameters that drive these defects, IMEC has also developed novel techniques for characterizing resist leaching and water uptake. The findings of our investigations into each immersion specific defect mechanism and their influencing factors will be given in this paper, and an attempt will be made to provide recommendations for a process space to operate in to limit these defects.
Two-beam interference of 193nm laser light can print dense line-space patterns in photoresist, down to a resolution that can only be obtained using hyper-NA scanners, and allows for early learning on hyper-NA imaging and process development. For this purpose, a dedicated two-beam interference immersion printer, operating at 193nm wavelength, was installed in the IMEC cleanroom. The interference printer consistently generates L/S patterns at 130nm, 90nm, and 72nm pitch with exposure latitudes in the 12-26% range (when using TE-polarized light). At these pitches, process and imaging issues have been studied that are of direct interest for hyper-NA lithography. On the imaging side, we discuss the flexibility of the printer towards working with various polarizations. We show how reflection reduction strategies at the high incidence angles of hyper-NA imaging can be tested in the interference printer. On the processing side, we have screened a number of resists at 90nm pitch. A methodology to study static and dynamic leaching was developed. Several liquids with refractive index >1.6 are currently being developed as potential candidates to replace water for optical lithography at 38nm half-pitch. We have used the interference printer at 72nm pitch, with both water and liquids of refractive index 1.65.
Control of critical dimension (CD) and resist profile is increasingly important in low-k1 lithography, and becomes more difficult in thin resist processing due to the chemical interaction occurring at the resist surfaces. Implementation of immersion lithography will make the control even more challenging since more sources of chemical interaction can play a role, e.g. leaching of photo active material from the resist into the water, or diffusion of the water into the resist. Moreover, the contact of the liquid in the scanner showerhead with the wafer surface is a dynamic and local interaction, which needs to be understood and quantified, since variations in soak time are a possible source of intra-field and across wafer CD-variations. In this paper we developed a methodology to understand and to quantify the impact of immersion scanner soak on resist profile control and CD-control. The methodology is on the one hand based on the simulation of the showerhead movements over the wafer during the immersion lithography process, where for a particular location on the wafer the soak time is calculated by accumulating the interaction time every time the showerhead is passing that particular location. On the other hand the methodology quantifies experimentally how much resist profile change and CD-variation is caused by a particular pre- and post-soak time, by testing the process in a virtual immersion set-up and measuring the CD-response with high-precision scatterometry. In this way, we were able to predict CD-variations related to immersion soak. Using the initial resist and topcoat processes, we recently experimentally verified on the ASML XT:1250Di immersion tool at IMEC that these soak related CD-variations exist. The effects are small, but in line with the soak time simulations and the CD-response obtained on the virtual immersion set-up. This demonstrates that the methodology described above could be very useful to select materials for lithography processes and to set specifications for allowed CD-variations in line with to the over-all allowed CD-budget.
Various approaches can be used to quantify line width roughness (LWR). One of the most commonly used estimators of LWR is the standard deviation. However, this approach is incomplete and ignores a substantial amount of information. We propose here a full spectral analysis to investigate and monitor LWR. A variety of estimators, such as standard deviation, peak-to-valley, average, correlation length and Fourier analysis have been implemented on-line on CDSEM. The algorithms were successfully tested against e-beam written LWR patterns, both deterministic and random. This approach allows a fully automated investigation of LWR. This methodology was used to monitor LWR over a long period of time, benchmark new resists and to investigate the effect of LWR on device performance and yield.
We have investigated the impact of water and top-coats on the resist in water immersion lithography by analyzing the dissolution behavior and the film constitution. We used a resist development analyzer (RDA) and a quartz crystal microbalance (QCM) to study the dissolution behavior. The film constitution was studied through the gradient shaving preparation (GSP) method in combination with TOF-SIMS. The GSP/TOF-SIMS method reveals the constitution of a top-coat/resist film. We found that, in a resist, the photo acid generator (PAG) anion at a depth of about 30 nm from the surface leached into water and a surface insoluble layer formed during immersion. The estimated amount of leaching was about 5% of the original content. The formation of an intermixing layer with a low dissolution rate was observed for some top-coat and resist combinations. The thickness of the intermixing layer and the formation behavior were made clear. We believe the intermixing layer was caused by the top-coat solvent eluting resist components. In a top-coat, a PAG existed within the top-coat and the PAG anion leached into the water. Top-coats blocked gaseous decomposed products from the resist film during PEB. These results are useful for estimating patterning characteristics and the defectivity due to materials for actual immersion exposure.
In recent years scatterometry has been shown to demonstrate very impressive long term repeatability of better than 1.5nm when measuring a simple resist stack. However, does this impressive precision hold true for a more complicated stack such as that of Shallow Trench Isolation (STI)? Furthermore what benefits does scatterometry metrology bring compared to CD-SEM and X-SEM metrology for STI characterization and monitoring? In this work, we examine the impact of critical attributes fundamental to scatterometry metrology, such as grating parameter sensitivity and library optimization, for the STI layer of a CMOS process using KLA-Tencor’s SpectroscopicCD. We report the results from an optimized library to characterize the STI process after trench etch and the sensitivity of the metrology will also be discussed. Finally, the efficiency of this technique is demonstrated by reference to the monitoring results for a period of approximately five months.
The control and minimization of resist line edge (or width) roughness (LER or LWR) is increasing in importance. It requires first a complete and reliable characterization scheme of LER, including frequency dependency, and then an investigation and understanding of its origins and methods for improvement. A new characterization method, introduced by Demokritos and based on the offline analysis of top-down SEM pictures, has been evaluated and compared to more conventional inline measurements. This enables us to include additional parameters that quantify the spatial aspects of LER, next to the classical LER 3σ value. The spatial frequency dependence can also be determined from the inline measurements. Both techniques are applied to several test cases: the impact on LER of changing softbake (SB) and post-exposure bake (PEB) temperature, and changing aerial image contrast (AIC). Also, the improvements in an etch optimization experiment are quantified. The majority of the work is concentrating on 193nm resists, but initial experiments with a 157nm resist will be shown. This work has led to a better understanding of some of the contributors to line edge roughness and gives the possibility to quantify process improvements in a better way.
KEYWORDS: Line edge roughness, Monochromatic aberrations, Transistors, Scanning electron microscopy, 193nm lithography, Cadmium, Optical lithography, Extreme ultraviolet, Etching, Control systems
Tight control of very small transistor gate CDs is one of the most difficult problems in advanced device patterning. Line-edge roughness on these small gate lines has become a serious issue with 193nm lithography and is only expected to worsen with 157nm and EUV lithography. Methods are needed that can minimize line-edge roughness while also enabling the patterning of small gate features. We have analyzed the use of a simple and manufacturable post-develop bake step, a 'hardbake', that controllably reduces both gate resist CDs and to line-edge roughness. Hardbake resist shrinkage is a well-known phenomena from earlier Novolak resist processing, but has not been investigated for chemically amplified resists as much as other CD slimming techniques. Our tests have been performed for different chemically amplified 193nm and EUV-type (essentially reformulated 248nm) resists. The results of our experiments show considerable potential for certain types of resists to provide gate CD control benefits from either roughness reduction or CD slimming.
For some applications, the usefulness of lithography simulation results depends strongly on the matching between experimental conditions and the simulation input parameters. If this matching is optimized and other sources of error are minimized, then the lithography model can be used to explain printed wafer experimental results. Further, simulation can be useful in predicting the results or in choosing the correct set of experiments. In this paper, PROLITH and ProDATA AutoTune were used to systematically vary simulation input parameters to match measured results on printed wafers used in a 193 nm process. The validity of the simulation parameters was then checked using 3D simulation compared to 2D top-down SEM images. The quality of matching was evaluated using the 1D metrics of average gate CD and Line End Shortening (LES). To ensure the most accurate simulation, a new approach was taken to create a compound mask from GDSII contextual information surrounding an accurate SEM image of the reticle region of interest. Corrections were made to account for all metrology offsets.
The issues surrounding the sensitivity of chemically amplified DUV photoresists to molecular bases such as ammonia, NMP, TMA and related compounds, have been the sources of intensive study and numerous publications. The challenges of DUV lithography tested both the photoresist suppliers' abilities to improve resistance to chemical degradation and the equipment suppliers' abilities to control molecular bases in the wafer processing environment. The efforts of photoresist suppliers have resulted in the latest generation of resists, some of which are reported to be less sensitive to molecular base exposure. Concurrently, powerful chemical filters have been developed to be able to maintain process equipment enclosures below concentrations of one part per billion (volume) through a wide range of ambient challenge conditions.
As ArF resists mature, lithographers are pushing the imaging limits as far as possible. ArF lithography is getting ready for the 130nm technology node and currently even the 100nm node printability with ArF is being studied. Since high numerical aperture (NA) ArF scanners are not yet available in volume, strong enhancement techniques will be required to meet these challenging targets at lower NA (0.63NA). In this paper we give an overview of the status of 193nm lithography towards 100nm patterning of memory and logic front-end features, and explore the various enhancement techniques needed. One of the options is off-axis illumination in combination with either a binary or attenuated phase-shift mask. With the use of annular, quadrupole and even dipole illumination, process latitudes of dense and semi-dense features clearly improve as compared to conventional illumination. The main drawback here is the limited depth-of-focus for the isolated lines. A possible solution to this problem is the application of assisting features that makes the diffraction pattern of the isolated lines look more like dense lines. Another proven technique is the alternating phase-shift mask (altPSM) which is known to improve the process latitudes of semi-dense to isolated lines as compared to a binary mask. Design complexity and mask manufacturability are well known problems with altPSM. But issues as image misplacement and the sensitivity to lens aberrations at high coherent light are lesser-known drawbacks for this technique. In this paper we give an indication towards the preferred strategy when 100nm node critical front-end layers of various technologies need to be printed in 193nm. We look at the status of 193nm lithography using the most favourable enhancement techniques, indicating the possible drawbacks. We also indicate where high NA scanners may overcome the restrictions of lower NA lenses.
The patterning of very small contact hole features for the 130nm and 100nm device generations will be a difficult challenge for 193nm lithography. The depth of focus for small contacts is currently inadequate for a manufacturable process that includes both dense and isolated pitches. As higher NA 193nm scanners are not expected to improve focus margins considerably, other contact patterning methods are required which improve processing margins. In this work, we study the potential for contact photoresist reflow to be used with 193nm photoresists to increase process windows of small contact dimensions.
It is expected that 193nm lithography will be introduced in front-end-of-line processing for all critical layers at the 100nm node, and possibly also for some layers at the 130nm node, where critical layers are required to have the lowest mask cost. These processes are currently being investigated at IMEC for CMOS logic applications. While the lithographic performance of 193 nm resists has improved significantly in the last year, most materials still have important processing issues that need further improvement. On one hand, the resists material itself suffers from for example poor dry etch resistance and SEM CD shrinkage. On the other hand, interaction with other materials such as SiON inorganic ARCs becomes more challenging in terms of footing behavior, adhesion, and line edge roughness. In this paper, the 193nm processing experience gained at IMEC will be outlined, as well as solutions for manufacturability. Front- end-of-line integration results will also be shown, mainly for gate applications. It will be demonstrated that currently several commercial resist are capable of printing 130nm gates within the +/- 10 percent CD tolerance, even after gate etch. The impact of line edge roughness will also be discussed. Finally, the feasibility of printing 100nm logic patterns using only binary masks has been demonstrated, including gate etch.
Atmospheric pressure deep UV lithography using fast chemically amplified photoresists will be the mainstay of semiconductor production into the foreseeable future. Airborne base contamination of modern resists is a yield-limiting issue that has lacked quantitative correlation to resist performance. Herein, the authors discuss the affect and implications of molecular base contamination on a state-of-the-art 248 nm chemically amplified photoresist. The results of this work suggest that contamination control will become even more critical as we enter the era of low K1 factor 150 nm device production using 248 nm lithography. The experimental work that supports these conclusions was performed at IMEC, Leuven, Belgium.
Lithography simulation tools eliminate costly and time consuming experiments allowing new processes to be developed quickly. There are excellent simulation programs that allow sophisticated modeling of the optics in current and future lithography tools. In many instances, the weak point in lithography simulations is the relatively poor capability to model resists. Sophisticated and accurate models have been developed for many technologically important i-line resists. However the models for 248nm chemically amplified resist are not as mature, and there are many resist of interest for which there are no reliable models. Even when they do exist, these full resist models are computationally expensive and not suitable for some applications such as model based optical proximity corrections. When useful models do not exist, lithographers use the aerial imaging portions of the lithography simulation tools and apply the simplest of resist models, the so-called constant threshold model. While this allows the critical dimensions to be approximated for high contrast resist, it fails to capture important aspects of most resist processes. Empirically trained resists models have come to be used where more accurate lithography simulations are required, but full resist models either do not exist or are to slow to be useful. This paper explores the use of a class of empirically trained models known as variable threshold resist models. This type of model stats with an aerial image calculation and uses a function to locally vary the threshold used to predict CDs. This type of model may be quickly trained for a specific resist process and potentially applied for a wide range of numerical aperture and partial coherence settings. We show how multiple dose and focus data can be used to train a model that includes input parameters extracted from the aerial image as well as pattern factors and exposure dose. The data present suggests that models trained with one set of optical conditions are useful at other optical settings. We also explore different approaches to validate the models and demonstrate some consider the effect of across wafer variation on the training data.
In this paper, the method for tuning a lithography simulator to match simulation to experiment, proposed by Thornton and Mack, was extended to a chemically amplified deep-UV resist process. After performing the Thornton-Mack tuning, the post-exposure bake (PEB) parameters of the resist were adjusted in the simulator to mach experimental results. In particular, measurements of Eo versus time and temperature of the PEB were used to 'calibrate' the actual PEB hotplate to the simulated hotplate and to estimate the amount of base quencher in the resist. Once tuned, the simulator was used to predict CD performance and compared to experimental results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.