Due to the importance of errors in lithography scanners, masks, and computational lithography in low-k1 lithography,
application software is used to simultaneously reduce them. We have developed “Masters” application software, which is
all-inclusive term of critical dimension uniformity (CDU), optical proximity effect (OPE), overlay (OVL), lens control
(LNS), tool maintenance (MNT) and source optimization for wide process window (SO), for compensation of the issues
on imaging and overlay.
In this paper, we describe the more accurate and comprehensive solution of OPE-Master, LNS-Master and SO-Master
with functions of analysis, prediction and optimization. Since OPE-Master employed a rigorous simulation, a root cause
of error in OPE matching was found out. From the analysis, we had developed an additional knob and evaluated a proof-of-
concept for the improvement. Influence of thermal issues on projection optics is evaluated with a heating prediction,
and an optimization with scanner knobs on an optimized source taken into account mask 3D effect for obtaining usable
process window. Furthermore, we discuss a possibility of correction for reticle expansion by heating comparing
calculation and measurement.
Source mask optimization (SMO) is widely used to make state-of-the-art semiconductor devices in high-volume manufacturing. To realize mature SMO solutions in production, the Intelligent Illuminator, which is an illumination system on a Nikon scanner, is useful because it can provide generation of freeform sources with high fidelity to the target. Proteus SMO, which employs co-optimization method and an insertion of validation with mask three-dimensional effect and resist properties for an accurate prediction of wafer printing, can take into account the properties of Intelligent Illuminator. We investigate an impact of the source properties on the SMO to pattern of a static random access memory. Quality of a source made on the scanner compared to the SMO target is evaluated with in-situ measurement and aerial image simulation using its measurement data. Furthermore, we discuss an evaluation of a universality of the source to use it in multiple scanners with a validation and with estimated value of scanner errors.
Source mask optimization (SMO) is widely used to make state-of-the-art semiconductor devices in high volume manufacturing. To realize mature SMO solutions in production, the Intelligent Illuminator, which is an illumination system on Nikon scanner, is useful because it can provide generation of freeform sources with high fidelity to the target. Proteus SMO, which employs co-optimization method and an insertion of validation with mask 3D effect and resist properties for an accurate prediction of wafer printing, can take into account the properties of Intelligent Illuminator. We investigate an impact of the source properties on the SMO to pattern of a static-random access memory. Quality of a source made on the scanner compared to the SMO target is evaluated with in-situ measurement and aerial image simulation using its measurement data. Furthermore we discuss an evaluation of a universality of the source to use it in multiple scanners with a validation with estimated value of scanner errors.
This work describes freeform source optimization considering mask error enhancement factor (MEEF), optical proximity effect (OPE), process window, and hardware-specific constraints. Our algorithm allows users to define maximum allowed MEEF and OPE error as constraints without defining weights among the metrics. We also consider hardware specific constraints, so that the optimized source is suitable to be realized in Nikon’s Intelligent Illumination hardware. Our approach utilizes a global optimization procedure to arrive at a freeform source shape solution, and since each source grid-point is assigned as variable, the source solution encompasses the maximum amount of degrees of freedom.
Thermal aberration becomes a serious problem in the production of semiconductors for which low-k1 immersion lithography with a strong off-axis illumination, such as dipole setting, is used. The illumination setting localizes energy of the light in the projection lens, bringing about localized temperature rise. The temperature change varies lens refractive index and thus generates aberrations. The phenomenon is called thermal aberration. For realizing manufacturability of fine patterns with high productivity, thermal aberration control is important. Since heating areas in the projection lens are determined by source shape and distribution of diffracted light by a mask, the diffracted pupilgram convolving illumination source shape with diffraction distribution can be calculated using mask layout data for the thermal aberration prediction. Thermal aberration is calculated as a function of accumulated irradiation power. We have evaluated the thermal aberration computational prediction and control technology “Thermal Aberration Optimizer” (ThAO) on a Nikon immersion system. The thermal aberration prediction consists of two steps. The first step is prediction of the diffraction map on the projection pupil. The second step is computing thermal aberration from the diffraction map using a lens thermal model and an aberration correction function. We performed a verification test for ThAO using a mask of 1x-nm memory and strong off-axis illumination. We clarified the current performance of thermal aberration prediction, and also confirmed that the impacts of thermal aberration of NSR-S621D on CD and overlay for our 1x-nm memory pattern are very small. Accurate thermal aberration prediction with ThAO will enable thermal aberration risk-free lithography for semiconductor chip production.
Nikon’s Intelligent Illuminator, a freeform pupilgram generator, realizes a high flexibility for pupilgram control by using more than 10,000 degrees-of-freedom for pupilgram adjustment. In this work, an Intelligent Illuminator was integrated into an ArF scanner, the Nikon NSR-S621D. We demonstrate the pupilgram setting accuracy by direct correlation between on-body measured pupilgram and desired target pupilgram. We show that the Intelligent Illuminator is used for fine tuning of the pupilgram to match optical proximity effect (OPE) characteristics. We experimentally confirmed that a global source optimization software realized an improvement of lithographic process window without changing OPE characteristics by using optimized pupilgram made by Intelligent Illuminator.
Source Mask Optimization1 (SMO) is one of the most important techniques available for extending ArF immersion
lithography. The combination of freeform source shape and complex mask pattern, determined by SMO, can extend the
practical resolution of a lithography system. However, imaging with a small k1 factor (~0.3 or smaller) is very sensitive
to many imaging parameters, such as illumination source shape error, lens aberration, process property, etc. As a result,
the real source shape must be re-adjusted to realize the expected imaging performance as may be seen, for example, in
an Optical Proximity Effect (OPE) curve.
In this paper we present an illumination pupilgram re-adjustment method that can effectively control the various
illumination parameters to get optimum imaging performance, which is required for the lithography process design.
The modulation functions are called Zernike intensity/distortion modulations2. Since the pupilgram modulation is
expressed by Zernike polynomials3, a high degree of pupilgram adjustment freedom is provided to the intelligent
illuminator4 (freeform illumination) which can be effectively modeled in the optimization. Furthermore, the
magnitude of each adjusting Zernike component can be restricted to prevent over modulation, which may affect imaging
performance for various patterns on a mask. Furthermore, the linear impact of each term of Zernike modulation can
allow us to use Zernike linear combination analysis to calculate imaging performance. Therefore, optimization using a
large variety of illumination modulation terms may be possible with reasonable computation loads.
The method is combined with an imaging simulator that includes resist models and optimization algorithms in
pupilgram refinement software called "OPE Master". The software can take into account the scanner signature and
various constraints so that the result of the optimization can be accurately realized on the scanner. The optimization can
be performed based on rigorous imaging simulation and Zernike linear combination analysis, which is based on a precalculated
Zernike linear sensitivity table.
Source Mask Optimization (SMO) is one of the most important techniques available for extending ArF immersion
lithography. The combination of freeform source shape and complex mask pattern, determined by SMO, can extend the
practical resolution of a lithography system. However, imaging with a small k1 factor (~0.3 or smaller) is very sensitive
to many imaging parameters, such as illumination source shape error, lens aberration, process property, etc. As a result,
care must be taken to insure that the source solution from SMO can be produced by the real illuminator, which is subject
to its own imaging constraints. One approach is to include an illuminator simulator in the SMO loop so that only
realizable illumination pupils are considered during optimization. Furthermore, the real source shape must be re-adjusted
to realize expected imaging performance as may be seen, for example, in an Optical Proximity Effect (OPE)
curve.
In this paper we present and describe both the illuminator simulator, which can predict the real pupilgram on the
exposure tool quickly, and an illumination pupilgram re-adjustment method that can effectively control the various
illumination parameters to get optimum imaging performance, which is required for the lithography process design.
The adjusting method uses pupilgram modulation functions, which are similar to Zernike polynomials used in wavefront
aberration analysis for lithographic projection lens, to describe the optimal pupilgram adjustment, and the resulting
modulation can then be realized by the illuminator system.
Source Mask Optimization (SMO) is one of the most important techniques available for extending ArF immersion
lithography1. However, imaging with a small k12 factor (~0.3 or smaller) is very sensitive to errors in the illumination
pupil2. As a result, care must be taken to insure that the source solution from SMO can be produced by the real
illuminator, which is subject to its own imaging constraints. One approach is to include an illuminator simulator in the
SMO loop so that only realizable illumination pupils are considered during optimization. Furthermore, any illumination
pupil predictor used in SMO should operate quickly compared to the imaging simulation if it is to avoid increasing the
computational load.
Source Mask Optimization1 (SMO) is one of the most important techniques available for extending ArF immersion
lithography. However, imaging with a small k1 factor (~0.3 or smaller) is very sensitive to errors in the imaging
system, such as lens apodization, process control, mask error, etc. As a result, the real source shape must be re-adjusted
to realize expected imaging performance as may be seen, for example, in an OPE curve. The intelligent illuminator can
modify the pupilgram with high spatial and intensity resolution in the pupil. But the question is:
How to adjust the pupilgram parameters properly to match target OPE?
In this paper we present and describe a pupilgram adjusting method that can effectively control the various illuminator
parameters. The method uses pupilgram modulation functions, which are similar to Zernike polynomials used in
wavefront analysis, to describe the optimal pupilgram adjustment. The resulting modulation can then be realized by the
intelligent illuminator.
We demonstrate the effect of this method and the relation to minimum pupil resolution and gray scale levels that are
needed for the intelligent illuminator to achieve its goals. In addition, a pupil analysis scheme, which is suitable for the
applied pupilgram adjustment method, is proposed and validated. Using this method, SMO solutions will be more
realistic and practically achievable for extending ArF immersion lithography.
Source Mask Optimization (SMO) 1 is proposed and being developed for the 32 nm generation and beyond in order to
extend dose / focus margin by simultaneous optimization of the illuminator source shape and a customized mask. For
several years now, mask optimization techniques have been improving. At the same time, the flexibility of the
illuminator must also be improved, leading to more complex illumination shapes. As a result, pupil fill is moving from a
parametric model defined by sigma value, ratio, clocking angle, subtended angle and/or, pole balance, to a freeform
condition with gray scale defined by light intensity in the illuminator. We have evaluated an intelligent illuminator in
order to meet requirements of SMO. Then we have confirmed controllability of the pupilgram.
Due to the extremely small process window in the 32nm feature generation and beyond, it is necessary to implement
active techniques that can expand the process window and robustness of the imaging against various kinds of imaging
parameters. Source & Mask Optimization (SMO) 1 is a promising candidate for such techniques.
Although many applications of SMO are expected, tolerancing and specifications for aggressively customized
illuminators have not been discussed yet. In this paper we are going to study tolerancing of a freeform pupilgram
which is a solution of SMO. We propose Zernike intensity/distortion modulation method to express pupilgram errors.
This method may be effective for tolerancing analysis and defining the specifications for freeform illumination.
Furthermore, this method is can be applied to OPE matching of free form illumination source.
Finite bandwidth and finite exposure time place a fundamental limit on dose uniformity. We evaluate the amplitude and spatial distribution of this residual speckle in a given type of lithographic illumination system. For nominal bandwidths and exposure times, the level of dose nonuniformity is on the order of several percent. We argue that this effect actually makes only a small contribution to line edge roughness.
High NA imaging or/and polarization illumination imaging for exposure tool requires not only scalar aberration
performance but also vectorial aberration (so called polarization aberration) control. There are several methods to
explain vectorial aberrations such as, Jones Matrix Pupil, Pauli spin matrix, etc. Pauli spin matrix may be intuitively
easier to understand and suitable to show scalar wavefront. However, direct use of Pauli spin matrix method may not
express physical meaning of vectorial aberration exactly, especially when the amount of polarization aberration is large.
In this paper we would like to propose a new explanation method, which is natural expansion of scalar aberration
explanation to vectorial aberration explanation and physically mostly exact form.
Furthermore useful approximation of the form makes it possible to make vectorial aberration sum-operant from productoperant.
Using this method, it is possible to use scalar aberration control technique, such as wavefront control, Zernike
sensitivity analysis, Zernike linear combination method, etc. to vectorial aberration control.
The polarization characteristics of the state-of-art of optical lithography equipment are approximately ideal, i.e., in
general only small polarization changes are induced by optical elements. Because of that, the polarization matrices of
the optics are close to the unit element, which can be represented using the first canonical coordinate of a Lie group. The
four-matrix basis of real general linear group of degree two is classified from a geometrical point of view. The complex
versions of the four matrices are added to the four real matrices to obtain the basis of Lie ring of two-dimensional
complex linear group, which is sufficient for physically possible polarization transformations. Each geometrical basis
matrix generates non-Jones space of easy to understand individual optical phenomena. We propose a new physical
polarization representation of projection optics for microlithography, which has eight real parameters, suitable for
conventional pupil representation, with individual real optical characteristics applicable to optical elements. Pupil maps
of a simulated projection lens whose polarization aberration and diattenuation induced by compensated intrinsic
birefringence of CaF2 lens elements, are shown using the representation.
Immersion lithography has been intensively developed to print features, such as isolated lines and isolated spaces, which are smaller than 35 nm, with good depth of focus at a vacuum wavelength of 193 nm. Because the wavelength of the light in a liquid is reduced from the vacuum wavelength, the numerical aperture, i.e. the resolution (lambda/2NA) can be improved by a factor of the index of refraction of the liquid. At the end of 2005, Nikon scanner achieved 47nm L and S pattern. In order to utilize daily this performance of the immersion lithography apparatus with well-defined resolution enhancement technique in factory to its maximum content, optical parameters such as lens aberration, illuminator NA, pupil-fill annular ratio, and polarization status are to be measured and controlled more accurately than ever. To meet that need, an integrated projecting optics tester (iPot) for an in-situ inspection of wavefront aberration with calibration method to achieve high accurate measurement has been developed. The performance meets the required 47nm L&S pattern while the numerical aperture of immersion projection lens is larger than 1. The deviation between the averaged absolute value of the Zernike coefficient was 0.0022 lambda (0.42 nm). The deviation of the averaged absolute value of the coefficient in the scanned field is 0.0010 lambda (0.19 nm). Measured ratio of specific polarization (RSP) values of H and V polarized illuminated sections are 0.974 and 0.973, respectively. Projection lens with the low birefringence designed value is consistent with the measured value of RSP and the wavefront illuminated by linear polarizing light.
It is well understood from previous work performed by Nikon and several others that the optical proximity effect (OPE) behavior of a litho tool will depend on the details in the partial coherence pattern projected by the tool's illuminator. While there are several other areas of influence, including the lens numerical aperture (NA), laser bandwidth, and simple parameters like focus and dose, the contribution of pupil fill cannot be ignored. This becomes especially significant when different tools, sometimes from varied manufacturers, are used to execute the same critical process. While measurements of pupil fill are readily available, the decision of what to do with the data can be frustrating. Lithographers cannot be expected to re-run their modeling for every small change in the pupil fill from one tool to another, or for changes due to small illuminator adjustments. A streamlined characterization technique is needed. This need becomes especially acute when we consider the exotic pupil fills to be used in pushing the performance envelope of immersion tools. At Nikon, we have already assessed the importance of the pupil fill. Therefore, we turn our attention to techniques that can be used to fully characterize it. We have developed several different characterization methods, including not only the derivation of "effective" sigma terms, but also a more direct analysis using a modulation transfer function. These diverse methods, and their correlation with vital litho parameters like iso-nested bias and HV bias, will be presented.
We developed an instrument for monitoring the polarized illuminator of the ArF scanner. A rotatable retarder and a rotatable analyzer were incorporated in the instrument for polarimetry. The instrument measures polarization state of the polarized illuminator in sufficient accuracy. Stokes parameter of the illumination light incorporated in the ArF scanner was successfully obtained. The measured result showed that the polarization state of the illumination light was controlled well. The instrument is as small and light-weighted as can be installed on board.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.