We have developed a transparent, high refractive index inorganic photoresist with significantly higher etch resistance
than even the most robust polymeric resist. As feature sizes continue to decrease, film thickness must be reduced in
order to prevent pattern collapse. Normally thinner films prevent sufficient pattern transfer during the etch process,
creating the need for a hardmask, thus increasing production cost. Compared to PHOST, we have shown over 10 times
better etch resistance. Organic photo-crosslinkable ligands have been attached to a hafnium oxide nanoparticle core to
create an imageable photoresist. This resist has shown superior resolution with both E-beam and 193 nm lithography,
producing sub-50 nm patterns. In addition to improved etch resistance, the inorganic photoresist exhibits a high
refractive index, increasing the depth of focus (DOF). The nanoparticle size of ~ 1-2 nm has the potential to reduce line
edge roughness (LER).
Chain scissioning resists do not require addition of photoacid generators to function. Previously reported chain
scissioning polysulfone resists were able to achieve enhanced sensitivity by incorporation of absorbing repeat units, but
these groups also inhibited the depolymerization reaction, which could further enhance sensitivity. Here we report the
development of sensitive polysulfone chain scissioning resists for 193 nm that are able to undergo depolymerization. The
effect of depolymerization of LER is also discussed. These polymers underwent CD shrinkage upon overdose, which
may be useful for double patterning processes.
Research has been conducted to develop alternatives to chemically amplified 193 nm photoresist materials that will be
able to achieve the requirements associated with sub-32 nm device technology. New as well as older photoresist design
concepts for non-chemically amplified 193 nm photoresists that have the potential to enable improvements in line edge
roughness while maintaining adequate sensitivity, base solubility, and dry etch resistance for high volume manufacturing
are being explored. The particular platforms that have been explored in this work include dissolution inhibitor
photoresist systems, chain scissioning polymers, and photoresist systems based on polymers incorporating
formyloxyphenyl functional groups. In studies of two-component acidic polymer/dissolution inhibitor systems, it was
found that compositions using ortho-nitrobenzyl cholate (NBC) as the dissolution inhibitor and poly norbornene
hexafluoro alcohol (PNBHFA) as the base resin are capable of printing 90 nm dense line/space patterns upon exposure to
a 193 nm laser. Studies of chain scission enhancement in methylmethacrylate copolymers showed that incorporating
small amounts of absorptive a-cleavage monomers significantly enhanced sensitivity with an acceptable increase in
absorbance at 193 nm. Specifically, it was found that adding 3 mol% of α-methyl styrene (α-MS) reduced the dose to
clear of PMMA-based resist from 1400 mJ/cm2 to 420 mJ/cm2. Preliminary data are also presented on a direct
photoreactive design concept based on the photo-Fries reaction of formyloxyphenyl functional groups in acrylic copolymers.
The feasibility of three polymer systems for use as non chemically amplified resists for 193 nm lithography are
discussed. The three systems are polycarbonates, polyphthalaldehydes and polysulfones. In general it was found that
increased absorbance resulted in higher sensitivity to 193 nm light. However, the exception to this was the
polycarbonates, which were found to undergo crosslinking due to an alkene group present in the polymer backbone.
Although polyphthalaldehydes were very sensitive, their absorbance values were too high to be useful in a commercial
environment. Absorbing polysulfones were found to be sensitive to 193 nm light and initial patterning results have been presented.
The trend of ever decreasing feature sizes in subsequent lithography generations is paralleled by the need to reduce resist
thickness to prevent pattern collapse. Thinner films limit the ability to transfer the pattern to the substrate during etch
steps, obviating the need for a hardmask layer and thus increasing processing costs. For the 22 nm node, the critical
aspect ratio will be less than 2:1, meaning 40-45 nm thick resists will be commonplace. To address this problem, we
have developed new inorganic nanocomposite photoresists with significantly higher etch resistance than the usual
polymer-based photoresists. Hafnium oxide nanoparticles are used as a core to build the inorganic nanocomposite into an
imageable photoresist. During the sol-gel processing of nanoparticles, a variety of organic ligands can be used to control
the surface chemistry of the final product. The different ligands on the surface of the nanoparticles give them unique
properties, allowing these films to act as positive or negative tone photoresists for 193 nm or electron beam lithography.
The development of such an inorganic resist can provide several advantages to conventional chemically amplified resist
(CAR) systems. Beyond the etch resistance of the material, several other advantages exist, including improved depth of
focus (DOF) and reduced line edge roughness (LER). This work will show etch data on a material that is ~3 times more
etch-resistant than a PHOST standard. The refractive index of the resist at 193 nm is about 2.0, significantly improving
the DOF. Imaging data, including cross-sections, will be shown for 60 nm lines/spaces (l/s) for 193 nm and e-beam
lithography. Further, images and physical characteristics of the materials will be provided in both positive and negative
tones for 193 nm and e-beam lithography.
The drive to sustain the improvements in productivity that derive from following Moore's law has
led the semiconductor industry to explore new technologies that enable production of smaller and
smaller features on semiconductor device. Pitch division techniques and double exposure lithography
are approaches that print features beyond the fundamental resolution limit of state-of-art lenses by
modifying the lithographic process. This paper presents a new technique that enables pitch division in
the printing of gratings using only a single exposure that is fully compatible with the current
manufacturing tools. This technique employs a classical photoresist polymer together with a
photoactive system that incorporates both a photoacid generator (PAG) and a photobase generator
(PBG). The PBG is added to the resist formulation in higher molar concentration than the PAG, but has
a base production rate that is slower than the acid production rate of the PAG. The PBG functions as a
dose-dependent base quencher, which neutralizes the acid in high dose exposure regions but not in the
low dose regions. This photoactive system can be exploited in the design of both positive tone and
negative tone resist formulations that provide a developed image of a grating that is twice the
frequency of the grating on the mask. A simulation of this process was performed for a 52 nm line and
space pattern using PROLITH and customized codes. The results showed generation of a 26 nm half
pitch relief image after development. Through this new technique, a 45 nm half pitch line and space
pattern was experimentally achieved with a mask that produces a 90 nm half pitch aerial image. This
corresponds to a k1 factor of 0.13. The principles, the materials design and the first lithographic
evaluations of this system are reported.
As next generation immersion lithography, combined with double patterning, continues to shrink feature sizes, the
industry is contemplating a move to non-chemically amplified resists to reduce line edge roughness. Since these resists
inherently have lower sensitivities, the transition would require an increase in laser exposure doses, and thus, an increase
in incident laser fluence to keep the high system throughput.
Over the past several months, we have undertaken a study at MIT Lincoln Laboratory to characterize performance
of bulk materials (SiO2 and CaF2) and thin film coatings from major lithographic material suppliers under continuous
193-nm laser irradiation at elevated fluences. The exposures are performed in a nitrogen-purged chamber where samples
are irradiated at 4000 Hz at fluences between 30 and 50 mJ/cm2/pulse. For both coatings and bulk materials, in-situ laser
transmission combined with in-situ laser-induced fluorescence is used to characterize material performance. Potential
color center formation is monitored by ex-situ spectrophotometry. For bulk materials, we additionally measure spatial
birefringence maps before and after irradiation. For thin film coatings, spectroscopic ellipsometry is used to obtain
spatial maps of the irradiated surfaces to elucidate the structural changes in the coating.
Results obtained in this study can be used to identify potential areas of concern in the lens material performance if
the incident fluence is raised for the introduction of non-chemically amplified resists. The results can also help to
improve illuminator performance where such high fluences already occur.
193 immersion lithography has reached its maximal achievable resolution. There are mainly two lithographic
strategies that will enable continued increase in resolution. Those are being pursued in parallel. The first is extreme
ultraviolet (EUV) lithography and the second is double patterning (exposure) lithography. EUV lithography is counted
on to be available in 2013 time frame for 22 nm node. Unfortunately, this technology has suffered several delays due to
fundamental problems with source power, mask infrastructure, metrology and overall reliability. The implementation
of EUV lithography in the next five years is unlikely due to economic factors. Double patterning lithography (DPL) is a
technology that has been implemented by the industry and has already shown the proof of concept for the 22nm node.
This technique while expensive is the only current path forward for scaling with no fundamental showstoppers for the
32nm and 22nm nodes. Double exposure lithography (DEL) is being proposed as a cost mitigating approach to advanced
lithography. Compared to DPL, DEL offers advantages in overlay and process time, thus reducing the cost-of-ownership
(CoO). However, DEL requires new materials that have a non-linear photoresponse. So far, several approaches were
proposed for double exposure lithography, from which Optical Threshold Layer (OTL) was found to give the best
lithography performance according to the results of the simulation. This paper details the principle of the OTL
approach. A photochromic polymer was designed and synthesized. The feasibility of the material for application of DEL
was explored by a series of evaluations.
Intermediate state two-photon (ISTP) photoacid generator (PAG) and optical threshold layer (OTL) approaches to
double exposure lithography have been explored. We have synthesized "transparent" PAG and sensitizer compounds for
use in ISTP systems and have demonstrated the possibility of utilizing such energy transfer systems to generate acid.
We have also synthesized side chain liquid crystalline polymers and small molecule azobenzene compounds for use in
OTL applications and have begun photoswitching studies.
Initial studies are presented on the use of polysulfones as non-chemically amplified resists (non-CARs) for 193 nm
immersion lithography. Polynorbornene sulfone films on silicon wafers have been irradiated with 193 nm photons in the
absence of a photo-acid generator. Chemical contrast curves and contrast curves were obtained via spectroscopic
ellipsometry and grazing angle - attenuated total reflectance FTIR spectroscopy. Results were consistent with previously
reported mechanisms for the degradation of aliphatic polysulfones with ionizing radiation. It was shown that E0 values
could be reduced significantly by using a post exposure bake step, which propagated depolymerization of the polymer.
Initial patterning results down to 50 nm half pitch were demonstrated with EUV photons.
In immersion lithography, high index fluids are used to increase the numerical aperture (NA) of the imaging system and
decrease the minimum printable feature size. Water has been used in first generation immersion lithography at 193 nm to
reach the 45 nm node, but to reach the 38 and 32 nm nodes, fluids and resists with a higher index than water are needed.
A critical issue hindering the implementation of 193i at the 32 nm node is the availability of high refractive index (n >
1.8) and low optical absorption fluids and resists. It is critical to note that high index resists are necessary only when a
high refractive index fluid is in use. High index resist improves the depth of focus (DOF) even without high index fluids.
In this study, high refractive index nanoparticles have been synthesized and introduced into a resist matrix to increase the
overall refractive index. The strategy followed is to synthesize PGMEA-soluble nanoparticles and then disperse them
into a 193 nm resist. High index nanoparticles 1-2 nm in diameter were synthesized by a combination of hydrolysis and
sol-gel methods. A ligand exchange method was used, allowing the surface of the nanoparticles to be modified with
photoresist-friendly moieties to help them disperse uniformly in the resist matrix. The refractive index and ultraviolet
absorbance were measured to evaluate the quality of next generation immersion lithography resist materials.
A critical issue preventing the implementation of 193nm immersion lithography (193i) to the 32nm node is the
availability of high refractive index (n > 1.8) and low optical absorption fluids. To overcome these issues, we have
synthesized high refractive index nanoparticles and introduced them into the immersion fluid to increase the refractive
index. Hydrolysis and sol-gel methods have been implemented to grow high refractive index nanoparticles with diameters of 3-4nm. Depending on the synthetic route, it is possible to produce stable suspensions of nanoparticles in either aqueous or organic solvents, making it possible to synthesize a stable high-index immersion fluid.
For several years, SEMATECH has invested significant effort into extending 193 nm immersion lithography by
developing a set of high index materials. For high index immersion lithography (HIL) to enable 1.70NA imaging, a
high index lens element with an absorbance < 0.005/cm, a fluid with an index of ≥ 1.80, and a resist with an index >1.9
are needed. This paper reviews the success or failure of various HIL components and presents the top final material
prospects and properties in each category.
Since this abstract was submitted, the industry has decided to cease any effort in HIL, not because of fundamental
showstoppers but because of timing. This choice was made even though the only currently available technology the can
enable 32 nm and 22 nm manufacturing is double patterning. This may represent a paradigm shift for the semiconductor
industry and lithography. It may very well be that using lithography as the main driver for scaling is now past. Due to
economic forces in the industry, opportunity costs will force performance scaling using alternative technology.
The current optical photolithography technology is approaching the physical barrier to the minimum achievable feature size. To produce smaller devices, new resolution enhancement technologies must be developed. Double-exposure lithography has shown promise as a potential pathway that is attractive because it is much cheaper than double-patterning lithography and can be deployed on existing imaging tools. However, this technology is not possible without the development of new materials with nonlinear response to exposure dose. The performance of existing materials such as reversible contrast enhancement layers (rCELs), and theoretical materials such as intermediate state two-photon (ISTP) and optical threshold layer (OTL) materials in double-exposure applications have been investigated through computer simulation. All three materials yielded process windows in double-exposure mode. OTL materials showed the largest process window (depth of focus (DOF) 0.14 µm, exposure latitude (EL) 5.1%). ISTP materials had the next-largest process window (DOF 0.12 µm, EL 3.2%), followed by the rCEL (0.11 µm, 0.58%). This study is an analysis of the feasibility of using the materials in double-exposure mode.
Successful fluids for use in 3rd generation 193 nm immersion lithography must have refractive indices of ≥ 1.80 at
193 nm, ≤ 0.15/cm absorbance at 193 nm, and be photochemically inert to 193 nm radiation. Various classes of organic
compounds were prepared and evaluated for use as 3rd generation 193 nm immersion fluids. Functional groups that were
evaluated included: sulfones, sulfoxides, sulfonic acids, ammonium sulfonate salts, alkanes, alkyl chlorides, alkynes,
and nitriles. Several compounds were synthesized including three sulfone and three sulfonic acid compounds. Other
commercially available compounds of interest underwent extensive purification prior to evaluation. Although this work
did not lead to any specific solutions to the challenge of identifying 3rd generation 193 nm immersion fluids, it can be
concluded that high density hydrocarbons based on cubane may have the best chance of meeting these goals.
Generation-three (Gen-3) immersion lithography offers the promise of enabling the 32nm half-pitch node. For Gen-3
lithography to be successful, however, there must be major breakthroughs in materials development: The hope of
obtaining numerical aperture imaging ≥ 1.70 is dependent on a high index lens, fluid, and resist. Assuming that a fluid
and a lens will be identified, this paper focuses on a possible path to a high index resist. Simulations have shown that
the index of the resist should be ≥ 1.9 with any index higher than 1.9 leading to an increased process latitude.
Creation of a high index resist from conventional chemistry has been shown to be unrealistic. The answer may be to
introduce a high index, polarizable material into a resist that is inert relative to the polymer behavior, but will this too
degrade the performance of the overall system? The specific approach is to add very high index (~2.9) nanoparticles
to an existing resist system. These nanoparticles have a low absorbance; consequently the imaging of conventional
193nm resists does not degrade. Further, the nanoparticles are on the order of 3nm in diameter, thus minimizing any
impact on line edge roughness (LER).
The need to extend 193nm immersion lithography necessitates the development of a third generation (Gen-3) of
high refractive index (RI) fluids that will enable approximately 1.7 numerical aperture (NA) imaging. A multi-pronged
approach was taken to develop these materials. One approach investigated the highest-index organic thus far
discovered. The second approach used a very high refractive index nanoparticle to make a nanocomposite fluid.
This report will describe the chemistry of the best Gen-3 fluid candidates and the systematic approach to their
identification and synthesis. Images obtained with the Gen-3 fluid candidates will also be presented for a NA ≥ 1.7.
The practical extendibility of immersion lithography to the 32nm and 22nm nodes is being supported on immersion
microsteppers installed at SEMATECH in Albany, New York. As the industry pushes the limits of water-based
immersion technologies, research has continued into developing alternative materials to extend optical lithography for
upcoming device generations. High index materials have been the primary focus of investigation, including optical lens
materials such as lutetium aluminum garnet (LuAG with n=2.14) and barium lithium fluoride (BaLiF3 with n=1.64),
high index fluids (Gen 2 and Gen 3 with n>=1.64), and resists. On a parallel and potentially complementary path,
double patterning and double exposure technologies have been proposed. For high index materials research, the
Amphibian XIS has demonstrated imaging at 1.50NA (32nm half-pitch) with high index fluids. A prism module is also
available to enable imaging with potential BaLiF3 and LuAG prisms. The Exitech MS193i has demonstrated
performance and imaging capability at 38nm hp with k1=0.256 at 1.30NA. Modifications at the mask plane now
provide a double exposure capability, offering an imaging platform to investigate experimental classes of nonlinear
materials and enabling double exposure imaging below k1eff=0.25. In this paper, we will discuss recent developments in
these research areas supported by the toolset at SEMATECH.
The current optical photolithography technology is approaching the physical barrier to the minimum achievable
feature size. To produce smaller devices, new resolution enhancement technologies must be developed. Double
exposure lithography has shown promise as potential pathway that is attractive because it is much cheaper than
double patterning lithography and it can be deployed on existing imaging tools. However, this technology is not
possible without the development of new materials with nonlinear response to exposure dose. The performance
of existing materials such as reversible contrast enhancement layers (rCELs) and theoretical materials such as intermediate
state two-photon (ISTP) and optical threshold layer (OTL) materials in double exposure applications
was investigated through computer simulation. All three materials yielded process windows in double exposure
mode. OTL materials showed the largest process window (DOF 0.137 μm, EL 5.06 %). ISTP materials had the
next largest process window (DOF 0.124 μm, EL 3.22 %) followed by the rCEL (0.105 μm, 0.58 %). This study
is an analysis of the feasibility of using the materials in double exposure mode.
A potential extension of water-based 193-nm immersion lithography involves transition to a higher refractive index
organic immersion fluid coupled with a higher index last lens element. While considerable progress has been made in
improving the photo-durability of the immersion fluid itself, photo-induced contamination of the last lens element
caused by laser exposure in the presence of such organic fluids remains a major concern. In this work, we study
remediation strategies for such contamination, which would be compatible with conventional lithographic production
environments. In general, surface photocontamination layers were found to be highly graphitic in nature, where the
first monolayer is strongly bound to the substrate. We have attempted to develop a surface passivation treatment for
altering the monolayer chemistry and preventing large-scale contamination, but found such treatments to be unstable
under laser irradiation. On the other hand, using hydrogen peroxide as a in-situ cleaning solution has been shown to be
extremely effective. We also present first laser-based durability results of LuAG, which is a leading candidate
material for high index last element to be used with high index fluids.
Cycloalkanes are candidates for immersion fluids because of their potential for low absorption in the 193-nm region and for a high refractive index (RI). We have developed an empirical correlation between refractive index and density of alkanes, which allows a prediction of the best candidates for immersion fluids based on the alkane structure. In particular, the correlation reveals that polycycloalkanes such as perhydrophenanthrene (PHPh) and perhydrodropyrene (PHPy), which have a higher RI than linear or cyclic alkanes, will be excellent candidates for immersion fluids at 193 nm. Therefore, PHPh and PHPy were synthesized by exhaustive hydrogenation of phenanthrene and pyrene. However, methods for the purification of the synthesized and commercial alkanes such as cyclodecane (CYD), cyclohexane (CYX), pentane (PNT), and decalin (DEC) are required in order to determine the actual absorption of candidates at 193 nm. The presence of an absorbing impurity at 193 nm can cause the premature elimination of otherwise excellent potential candidates. A rather subtle impurity is molecular oxygen, which does not itself absorb at 193 nm, but which forms complexes with alkanes that do absorb at 193 nm. In this case, the "impurity" is readily eliminated by simple purging with nitrogen or argon gas.
An extension of water-based immersion lithography involves replacing water with a higher index transparent oil.
Understandably, potential lens contamination is a major concern for an all-organic immersion fluid. We have
constructed an experimental system for controlled irradiation of high index fluids, including capabilities for in-situ
cleaning of potential deposits. We present results of laser-irradiation of several high index immersion fluid candidates.
Using properly developed exposure metrics, we discuss implications for fluid lifetimes in an immersion system, with and
without in-situ purification. Using our in-situ metrology, we are able to decouple bulk fluid degradation from window
photocontamination for several fluids. We find a significant variation in optics contamination rate depending on the fluid
tested. Even the slowest observed contamination rates would require some remediation strategies to remove the built-up
deposit from the final element surface. We also present results of irradiation of model hydrocarbon compound fluids.
Irradiation of these materials leads to fundamental understanding of underlying photochemistry, and also provides
guidance in designing future generation high index fluids.
In a search for alkane candidates for 193 nm immersion fluids, several alkanes and cycloalkanes were synthesized,
purified and screened to ascertain their absorption at 193 nm, refractive index and temperature dispersion coefficient in
the context of the actual application. In general, cycloalkanes, and more specifically polycycloalkanes, possess a higher
refractive index than do linear alkanes. Decalin, cyclodecane, perhydrophenanthreme (PHP), perhydrofluorene (PHF)
and perhydropyrene (PHPY) are examined as potential second and third generation immersion fluids. The use of
perhydropyrene, which possesses a high refractive index of 1.7014 at 193 nm, may be limited as an immersion fluid
because of high absorption at 193 nm. Mixtures of cycloalkanes can lead to a higher enhancement of the refractive index
together with a decrease on the viscosity. Exhaustive purification of the fluids is a critical step in determining the real
absorption of the different fluids at 193 nm. Two simple purification processes of these cycloalkanes were developed
that led to low absorption fluids in the VUV region. The possibility of forming the oxygen complex in aerated fluids was
reduced by purging samples with argon or nitrogen. This easy elimination of the oxygen complex shows the weak
bonding nature of this complex.
A preliminary Quantitative Structure Property Relationship (QSPR) model for predicting the refractive index of small
molecules and polymers at 193 nm is presented. Although at this stage the model is only semiquantitative we have found
it useful for screening databases of commercially-available compounds for high refractive index targets to include in our
program of synthesis of high refractive index resist polymers. These resists are targeted for use in 2nd and 3rd generation
193 nm immersion lithography. Using this methodology a range of targets were identified and synthesized via free
radical polymerization. Novel resist polymers were also synthesized via Michael addition polymerization. Preliminary
dose to clear experiments identified a number of promising candidates for incorporation into high refractive index resist
materials. Furthermore, we have demonstrated imaging of a high index resist using water-based 193 nm immersion
lithography.
In order to find new immersion liquids to improve the resolution of 193 nm immersion photolithography, we have attempted to discover aqueous system possessing an index of refraction greater than that of water using aqueous surfactant systems. The index of refraction (RI) of both cationic and anionic surfactant systems were examined in the presence of wide range of inorganic salts, and parameters such as size of surfactants, concentrations, and temperature were varied. The refractive index (RI) was found to be increased in the presence of both anionic and cationic surfactants compared to those of water and also increased as a function of surfactant concentration. However the refractive index tends to increase much more strongly as a function of salt concentration. In our study, a maximum RI enhancement was observed from 6.5 M CdCl2 in 8.2 mM aqueous SDS solution. The effect of micellar properties such as the critical micelle concentration (cmc) and degree of ionization were systematically studied for aqueous SDS system in the presence of CdCl2. The correlation on index of refraction between empirical data and theoretical prediction were performed using the concept of molar refraction. Wavelength dependence of RI from theoretical prediction based on empirical equation was examined for various concentration of CdCl2 system and the results are reported in the paper.
Immersion lithography is a new promising approach capable of further increasing the resolution of semiconductor devices. This technology requires the development of new immersion media that satisfy the following conditions: the media should have high refractive index, be transparent and photochemically stable in DUV spectral range. They should also be inert towards photoresists and optics and be liquid to permit rapid scanning. Here we propose and explore a novel strategy in which high refractive index medium is made of small solid particles suspended in liquid phases (nanocomposite liquids). The dielectric particles have high refractive index and the refractive index of nanocomposite liquids becomes volume weighted average between refractive indices of nanoparticles and the liquid phase. We investigate aluminum oxide (alumina) nanoparticles suspended in water. Alumina is known to have high (1.95) refractive index and low absorption coefficient at 193 nm. Alumina nanoparticles were prepared by chemical methods followed by removal of organic molecules left after hydrolysis reactions. Measurements of optical and reological properties of the nanocomposite liquid demonstrated potential advantage of this approach for 193 nm immersion lithography.
A critical aim within the field of 193 nm immersion lithography is the development of high refractive index immersion fluids and resists. Increases in the refractive index (RI) of the immersion fluid will result in increases in the numerical aperture and depth of focus. Increasing the RI of resist polymers will improve exposure latitude for the process. A challenge for increasing the RI of resist polymers is to do so without detrimentally affecting other properties of the polymer such as transparency, line edge roughness, adhesion and plasma etch resistance. It is well known in the literature that introducing sulfur, bromine or aromatic groups into a polymer structure will increase its RI. However, due to the relatively strong absorption of phenyl groups at 193 nm these groups have to be avoided. Furthermore, the use of bromine poses problems associated with contamination of the silicon wafer. Hence, in this study, a systematic approach has been used to increase the sulfur content of 193 nm type resist polymers, by synthesis of sulfur-containing monomers and by performing bulk modifications of the polymer. The effect of sulfur content on the RI at 193 nm was then investigated. A broad study of the relationship between molecular structure and RI dispersion from 250-180 nm has also been undertaken, and conclusions drawn using QSPR methodologies. Finally, the effect of sulfur content on other lithography parameters, such as transparency, adhesion and plasma etch resistance, was also evaluated.
The introduction of 157nm lithography has raised many issues, not the least of which is the requirement of a new material for soft pellicle. At 157nm, the incident energy of 7.9 eV is enough to break any single organic bond. This makes the design of a soft pellicle material quite a challenge. Additionally, previous work in the industry has shown that improving transparency does not necessarily translate into longer pellicle lifetimes. Based on extensive investigation of how existing materials are degrading in the VUV, these new polymer systems have been produced. This study shares detailed structural information about several novel materials developed for use as soft pellicles. Additionally, data is shown for material properties including transmission and lifetime of films under 157 nm and 193 nm exposures.
The advance of 157 nm as the next photolithographic wavelength has created a need to for transparent and radiation durable polymers for the use as pellicles. The most promising materials for the pellicles are fluorinated polymers, but the currently available fluorinated polymers undergo photodegradation and/or photodarkening upon exposure to 157 nm irradiation. To understand the mechanism of the photodegradation and photodarkening of fluorinated polymers, mechanistic studies on the photolysis of liquid model fluorocarbons such as, perfluoro butylethyl ether and perfluoro-2H-3-oxa-heptane, were performed employing UV, NMR, FTIR, GC, and GC/MS analysis. All hydrogen containing compounds showed decreased photostability compared to the fully perfluorinated compounds. Irradiation in the presence of atmospheric oxygen showed reduced photodarkening compared to deoxygenated samples. Irradiations were performed at 157 nm, 172 nm, 185 nm, and 254 nm and showed only minor wavelength dependence. Mechanisms for photodegradation of the fluorocarbons were proposed, where Rydberg excited states are involved.
Current semiconductor manufacturing utilizes exposure wavelengths from 365 nm to 193 nm, and current research is centered on photoresist development for 157 nm. Our research group discovered the strong inhibition response in the fluorocarbon resins designed for use at 157 nm. We have been investigating dissolution inhibitors (DIs), some of which also serve as photoacid generators (PAGs), that strongly inhibit the dissolution of poly(2-(3,3,3-trifluoro-2-trifuoromethyl-2-hydroxypropyl) bicyclo[2.2.1]heptane-5-ene)(PNBHFA) (1) and the Asahi glass RS001 polymer (2). These inhibiting PAGs, in particular, result in the creation of 2-component resist systems consisting only of the resin polymer and the PAG-DI. This design enables greater ease of formulation, reduces the number of variables present in resist development, and offers improvements in sensitivity and line edge roughness. The synthetic approach has been to design transparent, inhibiting compounds for use at 157 nm. However, during our investigation of these compounds, we found that there is an inherent “backwards compatibility” for these PAGs and DIs at 193 nm, 248 nm and 365 nm. This has created the ability to effectively design dissolution inhibitors, photoactive or otherwise, that span virtually all of the wavelengths used in photolithographic processes today. Here we will present the design, development and imaging of modern dissolution inhibitors suitable for use in a wide range of photolithography technologies.
The polymeric 157 nm pellicle was passivated on both sides to isolate it from environmental contamination. TAF pellicles were sputter deposited with 5 nm thick films of CaF2, MgF2, Al, Mg, TiN, SiNx, Si, and PTFE, separately. The light transmission and life expectancy of the coated and uncoated pellicles were investigated. The coated pellicles were also analyzed with ESCA for surface structure changes. The coating process changed the pellicle's deterioration mechanism and life expectancy.
The focus of 157 nm lithographic research is shifting from materials research to process development. Poly (2-(3,3,3-trifluoro-2-trifuoromethyl-2-hydroxypropyl) bicyclo[2.2.1]heptane-5-ene) (PNBHFA) has received a great deal of attention as a possible base resin for 157 nm lithography. The Asahi Glass RS001 polymer, which was introduced at SPIE in 2002, has also shown promise as a 157 nm base resin due to its low absorbance. Partial protection of either polymer with an acid labile protecting group is a common design for functional photoresists. We previously reported the blending of the carbon monoxide copolymers with PNBHFA copolymers to achieve the critical number of protected sites for optimum imaging performance and contrast. Our group has since studied the use of the unprotected base resin with an additive monomeric dissolution inhibitors (DIs) and a photoacid generator (PAG) to form a three component resist. Surprisingly unprotected PNBHFA was discovered to have dissolution inhibition properties that are far superior to the dissolution inhibition properties of novolac. Several DIs were prepared and tested in PNBHFA to take advantage of the resins dissolution inhibition properties. We have also recently explored the performance of a two-component resist using PAGs that also function as DIs.
The design of 157 nm photoresists is a daunting task since air, water, and most organic compounds are opaque at this wavelength. Spectroscopic studies1 led to the observation that fluorinated hydrocarbons offer the best hope for the transparency that is necessary for the design of an effective 157nm photoresist, and these classes of materials have quickly become the prominent platforms for a variety of research activities in this field. Our approach to the design of the resist polymer requires identification of a backbone that tethers the functional substituents and provides basic mechanical properties, an etch barrier that provides RIE resistance, an acidic group that permits solubility in tetramethylammonium hydroxide (TMAH) developer. Fluorocarbon polymers have been identified as promising resist candidates for 157nm material design because of their relatively high transparency at this wavelength. Numerous authors have discussed negative photoresists over the years. There are many uses for such materials at various levels in a semiconductor device. One such use is with complementary phase shift mask thus eliminating the need for a second exposure step. This paper reports our recent progress toward developing a negative 157nm resist materials based on fluoropolymers with crosslinkers that are transparent at 157nm. The authors will report on the synthesis of the polymers used in this work along with the crosslinkers and other additives used in the formulation of the photoresist. Imaging experiments at practical film thicknesses at 157nm with binary and strong phase shifting masks will be shown demonstrating imaging capabilities. Spectroscopic data demonstrating chemical mechanisms and material absorbance will be shown along with other process related information
Intel’s recent 157nm fluoropolymer photoresist development is described, including the benchmarking of photoresist patterning and the suitability of resists in typical Intel etch processes. The imaging results show that the new ultra-low absorbance resists (absorbance <1/μm) show great promise for meeting the 65nm-node ITRS targets. The materials also show good etch resistance when exposed to SiO2, Si3N4 and SixOyNz dry etch chemistries.
The authors have studied the impact of absorbance on the overall process window. The chemical contrast has been monitored by FTIR to understand the overall effect of absorbance through careful modulation of absorbing additives 193nm. The effects of absorbance and contrast has been simulated and through experimentation confirmed. The authors will provide comprehensive details of the synthesis of polymers, additives and impact on 157nm lithography.
Fluoropolymers have been shown to be one of the best materials for high transparency of 157 nm wavelength radiation. Both resists and pellicles are being designed from such materials. One of our approaches to improved transparency for 157 nm resists is based upon fluorinated variations of polymethacrylate and polyhydroxystyrene derivatives. Lithographic studies were carried out on experimental resist platforms using 157 nm and 248 nm steppers, and it was shown that, after selective modification, it is possible to use conventional resist backbones, such as acrylic or styrenic, in the design of single-layer resists for 157 nm lithography. It has been demonstrated in our studies that 157 nm absorbance of these materials can be as low as 1.5-2.0μm-1. Another approach to 157 nm resist design is based upon fluorinated backbone variations. Research will be described focusing on several new monomers having fluorine functions such as -F and -CF3 groups near a polymerizable double bond to improve transparency at 157 nm and to raise the resist glass transition temperature compared to their hydrocarbon analogues. Due to the lower electron density of the double bond, these monomers can be copolymerized with electron-rich vinyl monomers. As an extension to this strategy, we are synthesizing novel fluoropolymers having partially fluorinated monocyclic structures with radical cyclo-polymerization. These polymers have the C-F bond on the polymer main chain and also possess acid labile groups as part of a ring structure to eliminate degassing. In order to further enhance the transparency of these systolic polymers at 157 nm, we have eliminated the carbonyl group. The cyclic nature of the polymer will result in a high glass transition temperature.
Significant progress has been made in 157 nm resist technology. Material development for this emerging field is continuing at a frantic pace. Many new and interesting polymers are surfacing for these studies. Fluorine-containing polymers have become the prominent platform for a variety of research activities within this field and a tremendous amount of progress has been achieved. Since the absorbance of a variety of different organic polymers at 157 nm was first reported, a vast array of fluorine-containing materials has been proposed and designed for photolithography at this wavelength. Free radical polymerizations, metal-catalyzed addition polymerizations and metal-catalyzed copolymerizations with carbon monoxide have produced materials that have yielded positive-tone images with 157 nm exposures. Major progress has been made in decreasing the absorbance of fluoropolymers based on Tetra Fluoro Ethylene (TFE). A number of key monomers have been synthesized based on the learning this project has cataloged over the past 2-½ years. Development of these new and interesting monomers has been done with copolymerizations of TFE taken into consideration. Our project has focused on polymer synthesis efforts, learning how to maximize transparency at 157 nm with consideration to etch resistance and imaging properties of these materials. Vacuum-UV (VUV) studies and variable angle spectroscopic ellipsometry (VASE) data will be shown on numerous fluorinated compounds and synthesized polymers. Our most recent materials have an absorbance of less than 1/μm and etch resistance equal to first generation KrF materials. This paper will provide synthesis, imaging and etch studies that have been completed using a 0.60NA and 0.85NA 157nm micro exposure system.
The design of 157 nm photoresists is a daunting task since air, water, and most organic compounds are opaque at this wavelength. Spectroscopic studies1 led to the observation that fluorinated hydrocarbons and siloxanes offer the best hope for the transparency that is necessary for the design of an effective 157nm photoresist, and these classes of materials have quickly become the prominent platforms for a variety of research activities in this field. There have been a number of authors that have suggested that negative resists have unique attributes for specific device applications. Numerous authors have discussed negative photoresists over the years. There are many uses for such materials at various levels in a semiconductor device. One such use is with complementary phase shift mask thus eliminating the need for a second exposure step. This paper reports our recent progress toward developing a negative 157nm resist materials based on fluoropolymers with crosslinkers that are transparent at 157nm. The authors will report on the synthesis of the polymers used in this work along with the crosslinkers and other additives used in the formulation of the photoresist. Imaging experiments at practical film thicknesses at 157nm with binary and strong phase shifting masks will be shown demonstrating imaging capabilities. Spectroscopic data demonstrating chemical mechanisms and material absorbance will be shown along with other process related information.
The synthesis and characterization of several new fluoropolymers designed for use in the formulation of photoresists for exposure at 157 nm will be described. The design of these resist platforms is based on learning from previously reported fluorine-containing materials. We have continued to explore anionic polymerizations, free radical polymerizations, metal-catalyzed addition polymerizations and metal-catalyzed copolymerizations with carbon monoxide in theses studies. The monomers were characterized by vacuum-UV (VUV) spectrometry and polymers characterized by variable angle spectroscopic ellipsometry (VASE). Resist formulations based on these polymers were exposed at the 157 nm wavelength to produce high-resolution images. The synthesis and structures of these new materials and the details of their processing will be presented.
The design of 157nm photoresist is a daunting task since air, water, and most organic compounds are opaque at this wavelength. Spectroscopic studies led to the observation that fluorinated hydrocarbons improve the transparency of 157nm resist materials rather dramatically. These fluorinated resists have quickly become the prominent material platform for a variety of research activities. Regardless of wavelength, developing a practical photoresist material is always challenging; the added difficulties associated with 157nm radiation complicates the overall design problem and severely limits the choice of material classes to work with. This paper will discuss our 157nm simulation and parameter extraction efforts that have been completed over the past few months at International SEMATECH. During the past year we have developed the methodologies and practical test methods that are needed to study the lithographic behavior of 157nm resist systems. Our work is based on procedures in the open literature and augmented by internal research.
Fluorocarbon based polymers have been identified as promising resist candidates for 157nm material design because of their relatively high transparency at this wavelength. This paper reports our recent progress toward developing 157nm resist materials based on transparent dissolution inhibitors. These 2 component resist systems have been prepared and preliminary imaging studies at 157nm are described. Several new approaches to incorporating these transparent monomers into functional polymers have been investigated and are described. The lithographic performance of some of these polymers is discussed.
Hexafluoroisopropyl alcohol-functionalized acrylate monomers and their (co)polymers were prepared as photoresist platforms for 157 nm imaging. In order to balance transparency with other desirable traits such as etch resistance, we developed several copolymer systems. One is using 2-methyl adamantyl methacrylate as a comonomer, and the copolymer system showed better dissolution contrast compared to the copolymer with tetrahydropyranyl methacrylate without sacrificing transparency. To further improve the absorption properties at 157 nm, monomers having (alpha) -trifluoromethyl group were prepared and polymerized in anionic mechanism. The product polymer was unexpectedly transparent at 157 nm (A = 1.6 micrometers -1) in spite that all the monomers contain carbonyl group. The second system is the copolymer with p-t-butoxy-tetrafluorostyrene. p-Hydroxy-tetrafluorostyrene and p-t-butoxy-tetrafluorostyrene were polymerized radically using AIBN in good yield, and the two resulting polymers showed distinctive solubility differences in aqueous base solution. Finally, this paper describes the synthesis of new monomers having fluorine (e.g CF3- group) in the vicinity of the double bond to improve transparency at 157 nm. Due to the lower electron density of the double bond, these monomers can be copolymerized with electron-rich vinyl monomers using radical initiators.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.