KEYWORDS: Retroreflectors, Retroreflector prisms, Augmented reality, Automotive front vision, Heads up displays, Diffusers, Deep reactive ion etching, Projection systems
The superposition of digital information in the Field of View (FOV) of a user is the basis of the current developments in mixed and augmented reality. Before being studied for near eye device and head mounted display, this application was implemented in Head Up Display (HUD) to help pilots and drivers to manage both the driving stress and the information flow related to the vehicle. Classical optical design of HUD based on the use of a combiner are strongly limited in FOV due to the issues related to pupil management. To overcome this issue head up projection displays have been developed based on the projection of digital image directly on the windshield. To support this approach an efficient projection surface that meets bright reflection and clear transparency has to be developed. We have introduced few years ago an optical approach based on retro-reflective transparent projection surface and a manufacturing process to provide microscopic corner cubes that incorporate an optical diffuser function. We present in this contribution an optimized design that increases the efficiency of the retroreflective structure towards 100%. We also discuss a possible technological process that allows the manufacturing of the master used to replicate the microstructure. This process based on grayscale lithography and on Deep Reactive Ion Etching (DRIE) may guaranty a high retro-reflection efficiency, a high transparency and a realistic draft to allow a molding manufacturing process for the microstructure fabrication.
A polarization rotation is realized by subwavelength binary gratings, where the round trip phases of the smallest grating modes are fixed to the smallest possible integer numbers of 2π allowing a phase difference of π between TE and TM polarizations and almost 100% transmission. The principle is applied to a polarization transformation in the 1030 to 1064-nm wavelength range, using a segmented polarization rotating element converting a linearly polarized incidence to a radial or azimuthal polarization distribution. The elevated costs of such kinds of polarization transformers based on assembled birefringent crystals are avoided by using mass-fabrication compatible silicon-on-insulator technology on a wafer scale. It shows the general potential of microelectronic technology, concerning the batch manufacturing of wavelength-scale diffractive, grating-based elements for processing free space waves.
A polarization rotation is realized by subwavelength binary gratings, where the TE and TM round trip phases of the smallest grating modes are fixed to the smallest possible integer numbers of 2π that allow a straight-through phase difference of π. This results in a subwavelength grating allowing to realize a half-wave element of almost 100% transmission. The principle is applied to a polarization transformation in the 1030-1064 nm wavelength range, using a segmented polarization rotating element converting a linearly polarized incidence to a radial or azimuthal polarization distribution. The elevated costs of such kind of polarization transformers based on assembled birefringent crystals are avoided by using mass-fabrication compatible silicon on insulator technology on a wafer scale. It shows the general potential of microelectronic technology, concerning the batch manufacturing of wavelength-scale diffractive, grating based elements for processing free space waves
Phase-shift mask (PSM) technology in combination with 193nm illumination remains a viable option for high
contrast imaging towards 45nm half-pitch applications. The advent of hyper NA (immersion) lithography increases the
imaging sensitivity towards the photomask properties, such as mask-induced polarization. In addition, the use of PSM
technology implies taking into account the inherent photomask topography effects for a balanced through pitch imaging. A
good quartz etch depth control of +/-1o through pitch is required for optimized wafer imaging [1]. Therefore, a new PSM
material stack was proposed based on a transparent etch stop layer (TESL) in order to meet the stringent phase depth
requirements beyond 65nm half-pitch [2]. This extra layer allows over-etching of the quartz, resulting in a good etch depth
linearity and uniformity.
This study examines the manufacturability and printability of TESL-based masks. We examine the effect of an
improved quartz etch depth linearity on the through-pitch process windows for a TESL-based alternating aperture (AA)PSM.
Moreover, due to the different stack of photomask material compared to a classical photomask blank, the impact on
printability is investigated by simulations, AIMS and wafer imaging. The image imbalance compensation by trench biasing
needs to be optimized for through-pitch process windows.
The actual depth and line width of the structures is systematically probed within the photomask field. Based
on photomask metrology data, rigorous electro-magnetic field simulations are compared to wafer prints, obtained on an
ASML XT1250Di ArF immersion scanner working with a 0.85NA projection lens and to AIMS results from Zeiss
AIMS fab 193i.
Furthermore, feature sizes on the order of the lithography wavelength induce photomask polarization effects in the
imaging path [3]. The degree of polarization is compared to the polarization behavior of a conventional PSM.
In summary, this study assesses the capability of TESL PSM towards the 65nm node through-pitch imaging.
We explore technical and practical issues to apply EAPSM technology with high transmission into ArF lithography. This technique needs to be reviewed in the standpoint of process and device fabrication using short wavelength, high NA, OAI and OPC technology. In this paper, we analyze optical characteristics of multi-stacked film that composed of phase material like MoSi, Cr-SiON, Cr-SiO2, and Ta-SiO2. Three-dimensional analyses of film structure are to consider intensity variations and optical influence by n &k value, thickness and polarization light. The comparison will be focused on optimization or determination of each high T materials. Moreover, we specify CD impacts of mask CD error, variations of phase and transmission for various pattern size, and 3D structure. Polarization effect in this structure and high NA condition will be also interesting part to be studied impacts on process.
In the device application of technology, we consider overall process margin to satisfy cell & periphery design rule and OPC treatment to improve process windows. Optimum SRAF design and tri-tone mask technology will be key issue to improve DOF margin of specific design rule in OPC treatment. For 65nm technology or less, intensity formation distributed on mask affects CD and process margin directly on wafer patterning process. High transmission EAPSM will have specifically differences with 6% EAPSM in OPC treatment and it will be required new OPC rule in ArF lithography. Using simulation and experiment, we find high transmission EAPSM has advantages in device manufacturing and approach technical issue to be solved in material, process and device application. This technique shows to improve exposure latitude & DOF margin, and to reduce MEEF in process. Finally it will be good candidate to satisfy lithography requirement of 65nm and 45nm node.
Intensity imbalance between the 0 and π phase features of c:PSM cause gate CD control and edge placement problems. Strategies such as undercut, selective biasing, and combinations of undercut and bias are currently used in production to mitigate these problems. However, there are drawbacks to these strategies such as space CD delta through pitch, gate CD control through defocus, design rule restrictions, and reticle manufacturability. This paper investigates the application of an innovative films-based approach to intensity balancing known as the Transparent Etch Stop Layer (TESL). TESL, in addition to providing a host of reticle quality and manufacturability benefits, also can be tuned to significantly reduce imbalance. Rigorous 3D vector simulations and experimental data compare through pitch and defocus performance of TESL and conventional c:PSM for 65nm design rules.
Despite the complexity of AAPSM patterning using the complementary PSM approach with respect to OPC correction, mask making, fab logistics etc, the technique still remains a valuable solution for special products where a low CD dispersion printing process is required. For current and next generation process technologies (90-65nm ground rules), the most common alternating mask solution of single trench etch with or without undercut becomes more difficult to manufacture. Especially challenging is the aspect ratio control of quartz etched trenches as a function of density in order to assure the correct phase angle and sidewall for dense and isolated structures over all phase shifted geometries. In order to solve this problem, a modified mask architecture is proposed, called the Transparent Etch Stop Layer (TESL) phase shift mask. In TESL, a transparent (etch stop) layer is deposited on the quartz substrate, followed by the deposition of a quartz layer having a thickness corresponding to the required phase angle for the used wavelength. On top a Chromium layer will be deposited. The patterning of this mask will be quite similar to the single trench variant. The difference is, that now an overetch can be applied for the phase definition resulting from the high etch selectivity of quartz to the etch stop material. The result of this approach should be that we can better control the phase depth and sidewall angle for dense and isolated structures. In this paper we will discuss the results of the printing tests performed using TESL masks especially with respect to litho process window, and we will compare these with the single trench undercut approach. Simulation results are presented with respect to shifter sidewall profile and TESL thickness in order to optimize image imbalance. Throughout the study we will correlate simulations and measurements to the after-MBOPC CD values for the shifter structures. These results will allow us to determine if the TESL AAPSM approach can be a more effective alternative to the single trench undercut approach.
This paper details a study undertaken to revisit defect specifications and maskshop metrology calibration for a mature lithographic process. A programmed array was created containing darkfield and brightfield feature types at various pitches with appropriate OPC sizing. Defects were systematically added to the layout with differing sizes and spacing from the main feature. After exposure with production illumination settings, resist image data was collected and used to determine critical defect sizes. These results are correlated with typical maskshop metrology methods such as AIMS, AVI Photomask Defect Metrology Software (PDMS), and CDSEM. In some cases, it is shown that AIMS data correlates poorly with both defect size and spacing from the feature edge when using illumination settings nominally matched to the exposure tool. Finally, for the particular processes reviewed in this study, the results indicate that the initial reticle defect specifications are often too aggressive for the finalized production lithographic process.
We describe a numerical model for chip level lithography variability analysis. Gate level critical dimensions are adjusted based on lithographic variability simulations and these perturbed gate lengths are input to a chip timing analyzer. Statistical modeling studies highlight the interaction between lithography variability and chip timing performance including the role of lithography error correlation length, optical proximity effect residuals, exposure system imperfections and photomask errors. Understanding these relationships is a critical building block for lithographic error tolerancing, design manufacturability improvement and lithography limited yield enhancements on integrated circuits for which timing is a key performance metric.
The ZBA31H+) is a variable shaped spot, vector scan e- beam lithography system operating at 20 keV. The specified performance is designed to produce reticles to 250 nanometer design rules, and beyond. In November 98 the acceptance results of a newly installed Leica ZBA31H+), at Photonic Manchester, were presented in a paper at the VDE/VDI 15th European Conference on Mask Technology. This paper is a continuation of that work and presents data from a capability study carried out, on 4000 angstrom EBR9 HS31 resist. Analysis of: mean to target, uniformity, X/Y bias, isolated vs. dense linewidths, linearity, and registration performance of the tool is presented, and the effects of re- iterative develop on process capability compared. Theoretically, a shaped beam system has advantages over raster scan in terms of write time and edge definition capabilities. In this paper, comparative write times against an Etec Mebes 4500 system are included. The ZBA31H+) has to write very small polygons in order to image non-axial or non-45 degree features. The resulting effect on image quality and write time is investigated. In order to improve the fidelity of small OPC structures, Leica have investigated alternative writing strategies, and their results to data are presented here.
High resolution optical encoders take advantage of diffraction and interference properties of light. In such components, the scale is a phase grating that diffracts light into several orders; an optical reading system makes two of them to interfere. In state of the art systems, the orders interfere after they have been spatially separated from other orders; this leads to cumbersome reading heads. A compact, fully diffractive optical reading system was designed and realized. This reading system was used for the realization of rotation encoders. Using the competences of the Eureka EU 922 FOTA project partners, the encoder phase gratings were successfully realized and the reading system was implemented in standard rotation encoder housings. Without interpolation the encoder gives 480'000 pulses per revolution with a repeatability of 2.5 pulses.
We fabricated a digitized dual-frequency coupling gratings. And it was demonstrated experimentally that a two level digitization of the analog profile performs the waveguide interference between two free space waves.
The principle of the photolithographic mask transfer of high-spatial-frequency gratings under the Littrow angle is shown to be so tolerant that a broad spatial-frequency spectrum can be transferred under the same exposure conditions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.