In EUV lithography, the underlayers (ULs) play a crucial role for EUV exposure dose reduction as well as the prevention of the pattern collapse of the resist. In this work, we have focused on the EUV exposure dose reduction which is important for cost reduction and productivity enhancement. To accomplish so, we have developed an dry deposited underlayer engineered for metal oxide resist (MOR). A series of ULs with various chemistry, thickness, and processing conditions were screened with MOR resist using ASML’s NXE3400 EUV exposure system to print 14nm dense line CD. We observed a 27% dose reduction on the best deposited underlayer compared to spin on glass (SOG) UL. In addition to the exposure dose reduction, we observed that unbiased roughness values (LWR 2.44nm and LER1.86 nm) is maintained the same as SOG UL (LWR: 2.49 nm and LER 1.86 nm). Furthermore, the defect free window is comparable to the SOG process. Considering all these advantages, the dry deposited ULs could be one of the underlayer candidates for high NA EUV lithography.
Imec’s AttoLab is the first industrial laboratory capable of watching the ultrafast dynamics of photoresists following 13.5 nm, EUV exposure, and for emulating high-numerical-aperture (high-NA) exposure on 300-mm wafers using two-beam EUV interference. The two respective beamlines are powered by a laser-based high-harmonic generation EUV source. Its capabilities have recently been proven by imaging 20 nm pitch lines and spaces using Lloyd’s Mirror interference lithography. In parallel, time-averaged and time-resolved techniques for studying the ultrafast dynamics of photoresists after EUV exposure, coherent diffractive imaging to study resist interfaces, and more sophisticated interference lithography techniques for printing sub-22 nm pitches on full 300-mm wafers are being developed. Taking advantage of the bright and short EUV pulses now available at imec, we will be able to contribute to a smooth transition towards next generation high-NA lithography.
KEYWORDS: Extreme ultraviolet, Photoresist materials, Scanning electron microscopy, Metals, Photoresist processing, Semiconducting wafers, Extreme ultraviolet lithography, Line width roughness, Manufacturing, System on a chip
For generations lithographers have worked to overcome the difficulties associated with defect mitigation, and since EUV lithography has become mature enough for HVM this concern is warranting ever increasing attention to make such processes profitable. Even though much of the EUV defect effort is focused on stochastic defects, in this work we attempt to assess and understand process defects associated with the interaction between different films in an EUV stack. By understanding the behavior of specific underlayer materials and their chemistry within a given environment we have attempted to tune the surface energies to match the photoresist in the stack. With the correct process changes being applied, we have then worked to correlate the proper matching of surface energies with process defects. The current focus of our work is specifically line collapse, and we believe that developing a fuller understanding of the film interactions will ultimately lead to a more robust EUV process for HVM. We hereby present our work utilizing the SCREEN DUO coat develop track system with an ASML NXE:3300 in the imec Leuven cleanroom facility.
The combination photoresist-underlayer fulfills a central role in EUVL for patterning. Moreover, future high numerical aperture (NA) and tight pitches will require very thin layers in the lithography stack, which will increase the impact of chemical interactions at the photoresist-underlayer interface. Adhesion between these layers will be critical to overcome pattern collapse at high aspect ratios whereas at the same time the impact of interfacial effects, such as intermixing, on the lithography performance must be minimized. A fine balance must be found between several chemical interactions, which is a complex exercise with many unknown parameters. In this paper we present how the polar and dispersive components of the surface energy can be used to optimize EUV underlayers in order to achieve the best lithography performance. Subtle changes in the underlayer composition can have a large effect on the lithography performance. A PTD CAR photoresists test case will be used to demonstrate that the photoresist profile, scum formation, line width roughness, pattern collapse and stochastic defects can all be tuned into a certain direction just by tweaking the surface energies of the underlayer. The simplicity of the methodology in this study will be a powerful knob to understand and optimize underlayers for EUV photoresist-underlayer interactions.
Enhanced EUV lithography (EUVL) resist performance, combined with optimized post processing techniques, are vital to ensure continued scaling and meet the requirements for the industry N5 node and beyond. Sequential infiltration synthesis (SIS) is a post lithography technique that has the potential to significantly improve the EUVL patterning process for stochastic nano-failures and line roughness, both major topics in EUV lithography research. SIS is an ALD-like technique that infiltrates polymeric photoresists, forming a metal framework using the lithography pattern as a template. Hardening of the photoresist improves the pattern quality and gives more flexibility to subsequent pattern transfer steps. We have evaluated the performance of SIS for an EUV Chemically Amplified Resist (CAR) platform printing 32 nm pitch line/space patterns and ultimately structures that are representative of standard semiconductor manufacturing. A combined lithography-SIS-etch process and a standard lithography-etch process were optimized for an industry relevant stack with pattern transfer into a TiN layer. This allows for the first time a justified comparison between a EUVL-SIS and a standard EUVL patterning process, showing the benefits of SIS regarding roughness, exposure latitude and nano-failure mitigation. Power Spectral Density (PSD) analysis accurately demonstrates and explains the type of roughness improvement. Nano-failure analysis is done by measuring large areas at different exposure doses and shows the improvement of the nano-failure free window when applying a EUVL-SIS patterning process. We conclude by examining to which extent combining the best lithography process with an optimized SIS step will lead to a better roughness and nano-failure performance, essential to meeting industry requirements.
The read performance of a spin-transfer torque magnetic random-access memory device is based on the tunnel magnetoresistance of the magnetic tunnel junction cell, which is a function of the resistance values at low and high resistance states of the magnetic layers. To ensure a robust tunnel magnetoresistance value and high yield, magnetic tunnel junction pillar patterning process should have a good local critical dimension uniformity. In this paper, we screen several patterning techniques, such as dry development rinse material-based tone reversal besides the standard patterning, as well as different resists and underlayer materials to improve the local critical dimension uniformity at 50nm pitch extreme ultraviolet pillar printing. The results of the best litho process obtained show an improvement above 20% for the local critical dimension uniformity performance. The performance metrics such as the process windows analysis, pillar circularity and the critical dimension uniformity have also been checked for the promising litho process options. Moreover, the transfer of the post-litho improvements to the etch process have been checked and qualified after several layers of hardmask etch.
Extreme ultraviolet (EUV) materials are deemed as critical to enable and extend the EUV lithography technology. Currently both chemically amplified resist (CAR) and metal-oxide resist (MOR) platforms are candidates to print tight features on wafer, however patterning requirements, process tonality (positive or negative), illumination settings and reticle tonality (dark or bright) play a fundamental role on the material performance and in consequence on the material choice.
In this work we focus on the patterning of staggered pillars using a single EUV exposure, and this by looking at the lithographic and etching performance of CAR and MOR platforms, using metrics as process window, local critical dimension uniformity (LCDU), pillar edge roughness (PER), pillar placement error (PPE) and (stochastic) nano-failures.
As a bright field reticle shows a lower aerial image contrast to print pillars compared to the aerial image of contact holes using a dark field reticle, we also investigate alternative patterning solutions such as the tone reversal process (TRP) to pattern pillars from contact holes.
KEYWORDS: Extreme ultraviolet lithography, Line edge roughness, Line width roughness, Logic, Nanoimprint lithography, Optical lithography, Metals, System on a chip, Fourier transforms, Extreme ultraviolet
In the last year, the continuous efforts on the development of extreme ultraviolet lithography (EUVL) has allowed to push the lithographic performance of the EUV photoresists on the ASML NXE:3300 full field exposure tool. However, EUVL materials are deemed as critical to enable and extend the EUV lithography technology in a cost-effective manner. In this work, we present the imec activity on EUV materials. We show the results of the best performing EUV photoresists for dense line-space pattern at 32nm pitch, dense contact holes at 36nm pitch and dense staggered pillars at Px70nm-Py40nm pitch, reporting the most critical patterning challenges for the investigated structures. We discuss manufacturing challenges as nano-failures, line-width roughness, local critical dimension (CD) uniformity, process window limitations and metal cross contamination of metal containing resist (MCR). Further, we discuss the role of the substrate underneath the EUV photoresist and alternative patterning solutions as the tone reversal process (TRP). Furthermore, we discuss novel EUV materials lain on the horizon and fundamental material aspects.
The interaction of 91.6 eV EUV photons with photoresist – in particular chemically amplified resist (CAR) – is different than exposure at 193 nm and 248 nm wavelengths. The latter is understood well and it is known that photons interact with electrons in the resist’s molecular valence orbitals (for chemically amplified resist (CAR) the photon interacts with the photo acid generator (PAG), which leads to a deprotection reaction on a polymer after a thermal catalytic reaction during a post-exposure-bake.). At EUV however, more steps are involved in the radiolysis process between the absorption of the photon and the final chemical modification. These are related to the generation of primary electrons and their decay to lower energy secondary electrons, and most of this steps are not well understood. In this paper, the reaction products from EUV and low energy electron exposure are examined using Residual Gas Analysis (RGA), which measures and analyzes the outgassing products related to the ongoing reactions. This investigation is applied firstly on a model CAR where details of the resist chemical constituents were known prior to testing. The measurement not only resolved information on the expected acid related reactions from the PAG and protection groups, but also exhibited direct scission reactions of the polymer, where some of them lead to polymerization reactions. Moreover, the measurement quantifies the balance between the different ongoing reactions, which were confirmed by contrast curve measurements. Based on learnings on the model resist, applied the measurement technique to commercial resists, where actual resist chemistry composition is not known. Despite that, it was found that information could be deduced to distinguish between acid related ongoing reactions and direct scission of reaction on the base polymer and quantify their relation. Moreover, different generations of commercial resists based on similar chemistry platform were investigated, which revealed that improvements in printing performance could be explained by PAG reaction yield increase.
The interaction of 91.6eV EUV photons with photoresist is very different to that of optical lithography at DUV wavelength. The latter is understood quite well and it is known that photons interact with the resist in a molecular way through the photoacid generator (PAG) of the chemically amplified resist (CAR). In EUV however, the high energy photons interact with the matter on atomic scale, resulting in the generation of secondary electrons. It is believed that these secondary electrons in their turn are responsible in chemical modification and lead to switching reactions that enable resist local dissolution. However, details of the interaction are still unclear, e.g. which reaction an electron with a given energy can initiate.
In this work we have introduced a method to measure the chemical interaction of the secondary electrons with the EUV resist. The method is based on electron gun exposures of low energy electrons (range ~1eV to ~80eV) in the photoresist. The chemical interaction is then measured by Residual Gas Analysis (RGA), which can analyze out of the outgassing which and how much reaction products are generated. In this way a ‘chemical yield’ can be quantified as function of electron energy.
This method has been successfully applied to understand the interaction of secondary electrons on the traditional CAR materials. The understanding was facilitated by testing different compositions of an advanced EUV CAR, where resp. polymer only, polymer+PAG, and polymer+PAG+quencher are tested with the electron gun. It was found that low energy electrons down to ~3-4eV can activate PAG dissociation, which can lead to polymer deprotection. However it was observed too that energy electrons of ~12eV and higher can do direct deprotection even in absence of the PAG. In addition, testing suggests that electrons can generate also other chemical changes on the polymer chain that could lead to cross-linking.
There are many knobs available that change the chemical and physical properties of the photoresists to "break" the RLS (Resolution, Sensitivity, Line edge/width roughness) trade-off, however those are not enough today to realize a material to satisfy all requirements at once for 7nm technology and beyond. DDRP improves the ultimate achievable resolution via pattern collapse mitigation, hence the priority of requirements for the EUV photoresist development may be changed with more focus on Sensitivity and LWR. This may potentially provide a new conceptual approach towards EUV PR development for DDRP applications. We have previously demonstrated pattern collapse (PC) mitigation via DDRP on different EUVL photoresists (including different resist platforms), achieving ultimate resolution and exposure latitude improvements [1,2]. In this contribution, we report patterning and material defect performance of HVM compatible (all aqueous) dry development rinse material. We will also report on process window improvement on 2-dimensional metal structures towards standard cell size reduction with elimination of mask layer(s) using single EUV exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.