The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.
Over time mask makers have been driven to low sensitivity e-beam resist materials to meet lithographic
patterning needs. For 7-nm logic node, resolution enhancement techniques continue to evolve bringing
more complexity on mask and additional mask builds per layer. As demonstrated in literature, low
sensitivity materials are needed for low line edge roughness (LER) but impact write tool through put. In
characterizing resist sensitivity for 7-nm, we explore more broadly what advantages and disadvantages
moving to lower sensitivity resist materials brings, where LER, critical dimension uniformity, resolution,
fogging, image placement, and write time results and trends are presented. In this paper, resist material
performance are reported for sensitivities ranging from 20 to 130 μC/cm2 at 50% proximity effect
correction, where the exposure will be using a single beam platform. Materials examined include negative
tone resist types with chemical amplification and positive tone without chemical amplification focusing on
overall trends for 7-nm e-beam resist performance.
In this paper we will describe the development of a new 12% high transmission phase shift mask technology for use
with the 10 nm logic node. The primary motivation for this work was to improve the lithographic process window for
10 nm node via hole patterning by reducing the MEEF and improving the depth of focus (DOF). First, the simulated
MEEF and DOF data will be compared between the 6% and high T PSM masks with the transmission of high T mask
blank varying from 12% to 20%. This resulted in selection of a 12% transmission phase shift mask. As part of this
work a new 12% attenuated phase shift mask blank was developed. A detailed description and results of the key
performance metrics of the new mask blank including radiation durability, dry etch properties, film thickness, defect
repair, and defect inspection will be shared. In addition, typical mask critical dimension uniformity and mask minimum
feature size performance for 10 nm logic node via level mask patterns will be shown. Furthermore, the results of work
to optimize the chrome hard mask film properties to meet the final mask minimum feature size requirements will be
shared. Lastly, the key results of detailed lithographic performance comparisons of the process of record 6% and new
12% phase shift masks on wafer will be described. The 12% High T blank shows significantly better MEEF and larger
DOF than those of 6% PSM mask blank, which is consistent with our simulation data.
In this paper, we discuss the lithographic qualification of high transmission (High T) mask for Via and contact hole applications in 10nm node and beyond. First, the simulated MEEF and depth of focus (DoF) data are compared between the 6% and High T attnPSM masks with the transmission of High T mask blank varying from 12% to 20%. The 12% High T blank shows significantly better MEEF and larger DoF than those of 6% attnPSM mask blank, which are consistent with our wafer data. However, the simulations show no obvious advantage in MEEF and DoF when the blank transmittance is larger than 12%. From our wafer data, it has been seen that the common process window from High T mask is 40nm bigger than that from the 6% attnPSM mask. In the elongated bar structure with smaller aspect ratio, 1.26, the 12% High T mask shows significantly less develop CD pull back in the major direction. Compared to the High T mask, the optimized new illumination condition for 6% attnPSM shows limited improvement in MEEF and the DoF through pitch. In addition, by using the High T mask blank, we have also investigated the SRAF printing, side lobe printing and the resist profile through cross sections, and no patterning risk has been found for manufacturing. As part of this work new 12% High T mask blank materials and processes were developed, and a brief overview of key mask technology development results have been shared. Overall, it is concluded that the High T mask, 12% transmission, provides the most robust and extendable lithographic solution for 10nm node and beyond.
While the industry is making progress to offer EUV lithography schemes to attain ultimate critical dimensions down to 20 nm half pitch, an interim optical lithography solution to address an immediate need for resolution is offered by various integration schemes using advanced PSM (Phase Shift Mask) materials including thin e-beam resist and hard mask. Using the 193nm wavelength to produce 10nm or 7nm patterns requires a range of optimization techniques, including immersion and multiple patterning, which place a heavy demand on photomask technologies. Mask schemes with hard mask certainly help attain better selectivity and hence better resolution but pose integration challenges and defectivity issues. This paper presents a new photomask etch solution for attenuated phase shift masks that offers high selectivity (Cr:Resist > 1.5:1), tighter control on the CD uniformity with a 3sigma value approaching 1 nm and controllable CD bias (5-20 nm) with excellent CD linearity performance (<5 nm) down to the finer resolution. The new system has successfully demonstrated capability to meet the 10 nm node photomask CD requirements without the use of more complicated hard mask phase shift blanks. Significant improvement in post wet clean recovery performance was demonstrated by the use of advanced chamber materials. Examples of CD uniformity, linearity, and minimum feature size, and etch bias performance on 10 nm test site and production mask designs will be shown.
KEYWORDS: Etching, Photomasks, Atomic force microscopy, Scanning electron microscopy, Chromium, 3D metrology, Lithography, Data modeling, Quartz, Plasma
As optical lithography is extended to the 14nm and 10nm technology nodes, sidewall angle (SWA) control of photomask
features becomes increasingly important. The experiments to be reported here study SWA for advanced attenuated
phase-shift photomasks. SWA is evaluated from three perspectives. First, the effects of mask etch process parameters
will be studied. Second, the effects of local mask environment, such as etch loading and line width, will be tested.
Finally, a variety of SWA measurement methods will be compared.
The lithography challenges posed by the 20 nm and 14 nm nodes continue to place strict minimum feature size
requirements on photomasks. The wide spread adoption of very aggressive Optical Proximity Correction (OPC) and
computational lithography techniques that are needed to maximize the lithographic process window at 20 nm and 14 nm
groundrules has increased the need for sub-resolution assist features (SRAFs) down to 50 nm on the mask. In addition,
the recent industry trend of migrating to use of negative tone develop and other tone inversion techniques on wafer in
order to use bright field masks with better lithography process window is requiring mask makers to reduce the minimum
feature size of opaque features on the reticle such as opaque SRAFs. Due to e-beam write time and pattern fidelity
requirements, the increased use of bright field masks means that mask makers must focus on improving the resolution of
their negative tone chemically amplified resist (NCAR) process.
In this paper we will describe the development and characterization of a high resolution bright field mask process that is
suitable for meeting 20 nm and early 14 nm optical lithography requirements. Work to develop and optimize use of an
improved chrome hard mask material on the thin OMOG binary mask blank1 in order to resolve smaller feature sizes on
the mask will be described. The improved dry etching characteristics of the new chrome hard mask material enabled the
use of a very thin (down to 65 nm) NCAR resist. A comparison of the minimum feature size, linearity, and through pitch
performance of different NCAR resist thicknesses will also be described. It was found that the combination of the
improved mask blank and thinner NCAR could allow achievement of 50 nm opaque SRAFs on the final mask.. In
addition, comparisons of the minimum feature size performance of different NCAR resist materials will be shown. A
description of the optimized cleaning processes and cleaning durability of the 50 nm opaque SRAFs will be provided.
Furthermore, the defect inspection results of the new high resolution mask process and substrate will be shared.
Mask defectivity is often highlighted as one of the barriers to a manufacturable EUV solution. As EUV lithography
matures, other components of mask making also emerge as key focus areas in the industry: critical dimension (CD)
control, film variability, selectivity, and profile tolerance. Mask materials and specifications continue to evolve to meet
the unique challenges of EUV lithography, creating the need for etch capabilities that can keep pace with the latest
developments. In this study, the performance of a new EUV mask etch system will be evaluated using a variety of mask
blanks to determine the relative performance of each blank type. Etch contributions to mean to target (MTT), CDU,
linearity, selectivity, capping layer uniformity, line edge roughness (LER), and profile quality will be characterized to
determine tool performance. The new system will also be used to demonstrate multilayer etching capabilities, important
for opaque frame and alternating phase shift applications. A comprehensive summary of the etch performance of various
EUV films and the readiness for manufacturing applications will be provided.
The lithography challenges posed by the 22 nm node continue to place stringent requirements on photomasks.
The dimensions of the mask features continue to shrink more deeply into the sub-wavelength scale. In this
regime residual mask electromagnetic field (EMF) effects due to mask topography can degrade the imaging
performance of critical mask patterns by degrading the common lithography process window and by magnifying
the impact of mask errors or MEEF. Based on this, an effort to reduce the mask topography effect by
decreasing the thickness of the mask absorber was conducted. In this paper, we will describe the results of our
effort to develop and characterize a binary mask substrate with an absorber that is approximately 20-25% thinner
than the absorber on the current Opaque MoSi on Glass (OMOG) binary mask substrate.
For expediency, the thin absorber development effort focused on using existing absorber materials and deposition
methods. It was found that significant changes in film composition and structure were needed to obtain a
substantially thinner blank while maintaining an optical density of 3.0 at 193 nm. Consequently, numerous
studies to assess the mask making performance of the thinner absorber material were required and will be
described. During these studies several significant mask making advantages of the thin absorber were
discovered. The lower film stress and thickness of the new absorber resulted in improved mask flatness and up
to a 60% reduction in process-induced mask pattern placement change. Improved cleaning durability was
another benefit. Furthermore, the improved EMF performance of the thinner absorber [1] was found to have the
potential to relieve mask manufacturing constraints on minimum opaque assist feature size and opaque corner to
corner gap.
Based on the results of evaluations performed to date, the thinner absorber has been found to be suitable for use
for fabricating masks for the 22 nm node and beyond.
Use of optical photomasks will extend to the 22-nm node and beyond. Mask minimum resolution and critical dimension
(CD) requirements for this node are very challenging to the mask industry. Optimization of resist materials and resist
thickness are key factors for improving CD performance. In general, thinner resists result in better minimum resolution
performance. The minimum useable resist thickness is often linked to the chrome hard mask dry etching performance.
More specifically, improvement of chrome etch rate selectivity to resist while simultaneously maintaining good CD
performance is difficult. In order to use a very thin e-beam resist, the underlying chrome hard mask material thickness
needs to be thin or it needs to be comprised of a material that has a fast etch rate and good dry etch selectivity to resist.
Use of thin and/or fast etch rate hard mask materials that are capable of reducing dry etch induced CD error such as etch
bias, etch bias uniformity, etch bias linearity, and etch global loading effect is required for meeting 22-nm mask
requirements. In this paper, the dry etching effect dependence on hard mask thickness, hard mask material composition
and resist thickness for building advanced binary masks for 22-nm node is studied. The results from this work will show
that dry etch induced CD error such as etch bias, etch bias uniformity, etch bias linearity, and etch global loading effect
are significantly improved by use of an ultra thin or high etch rate hard mask material.
Two key parameters of attenuated phase shift masks are critical dimension uniformity (CDU) and phase uniformity.
This study examines the important role that plasma etch plays in determining these parameters. For optimal results, the
impact which Cr and MoSi etch have on uniformity must be understood not only individually, but also as a
complementary pair. A two-step MoSi etch was developed; the first step was tuned to have a higher etch bias at the edge
than at the center, while the second step had a very uniform etch bias. By controlling the fraction of the MoSi consumed
by each step, the MoSi etch was adapted to complement the Cr etch and thus optimize overall CDU and phase
uniformity.
During the development of optical lithography extensions for 32nm, both binary and attenuated phase shift Reticle
Enhancement Technologies (RETs) were evaluated. The mask blank has a very strong influence on the minimum feature
size and critical dimension (CD) performance that can be achieved on the finished reticle and can have a significant
impact on the ultimate wafer lithographic performance. Development of a suitable high resolution binary mask making
process was particularly challenging. Standard chrome on glass (COG) binary blanks with 70 nm thick chrome films
were unable to support the required minimum feature size, linearity, and through pitch requirements. Two alternative
mask blank configurations were evaluated for use in building high resolution binary masks: a binary (BIN) mask blank
based on the standard attenuated PSM blank and an Opaque MoSi on Glass (OMOG) mask blank consisting of a newly-
developed opaque MoSi [1]. Data comparing the total process bias, minimum feature size, CD uniformity, linearity,
through pitch, etch loading effects, flatness, film stress, cleaning durability and radiation durability performance of the
different binary and attenuated PSM mask blanks are reported. The results show that the new OMOG binary blank offers
significant mask performance benefits relative to the other binary and attenuated PSM mask blanks. The new OMOG
blank was the opaque mask blank candidate most capable of meeting 32nm binary mask fabrication requirements..
As optical lithography is extended for use in manufacturing 45 nm devices, it becomes increasingly important to
maximize the lithography process window and enable the largest depth of focus possible at the wafer stepper.
Consequently it is very important that the reticles used in the wafer stepper be as flat as possible. The ITRS roadmap
requirement for mask flatness for 45 nm node is 250 nm. To achieve this very tight reticle flatness requirement, the stress
of each film present on the mask substrate must be minimized. Another key reticle specification influenced by film stress
on the mask blank is image placement. In this paper, we will describe the development and detailed characterization of a
new low stress Molybdenum Silicide (MoSi) film for use in manufacturing 45 nm node critical level attenuated phase
shift masks to be used in 193 nm immersion lithography. Data assessing and comparing the cleaning durability, mask
flatness, image placement, Critical Dimension (CD) performance, dry etch properties, phase performance, and defect
performance of the new low stress MoSi film versus the previous industry standard A61A higher stress MoSi attenuator
film will be described. The results of our studies indicate that the new low stress MoSi film is suitable for 45 nm mask
manufacturing and can be introduced with minimal changes to the mask manufacturing process.
One of the most important parameters of Attenuated Phase Shift Masks (APSM) is the uniformity of the phase over the
active area of the mask. Phase uniformity is an important component of lithographic process window stability.
Typically, an APSM blank consists of a quartz substrate upon which a Molybdenum Silicide (MoSi) attenuating film and
a Chromium (Cr) film have been deposited to act as a hard mask for the MoSi etch. There are many factors that
contribute to phase non-uniformity of the final mask: thickness non-uniformity of the films, non-uniformity of the Cr
etch and MoSi etch, and non-uniformity of the MoSi overetch into the quartz substrate. Phase of a completed mask is
routinely measured, but quantifying how these individual components contribute to the overall phase non-uniformity is
challenging. This report focuses on understanding how MoSi etch contributes to phase non-uniformity. Phase
uniformity is compared for three different MoSi etch processes.
Photomask pellicles play an important role in determining final photomask flatness, which is important to photomask optical performance. This study explores the impact of the pellicle frame flatness and pellicle-to-mask adhesive on photomask flatness. In addition, the change in mask flatness as a function of time after pellicle mounting is studied. Implications of these results on photomask manufacture and photolithography are discussed.
At IBM’s Mask House, we deigned, installed, and evaluated a fully automated pellicle mounting tool. Features include very low particulate levels, ability to mount a wide variety of pellicles, ease of operation, and pellicle and mask inspection capability. During an evaluation period, pellicles were mounted both with this fully automated tool and with a semiautomatic tool. The fully automated tool showed good reliability (>95% availability) and a 2X lower incidence of foreign material contemination as compared with the semiautomatic tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.