Laser assisted CD correction system for EUV masks is developed in order to satisfy the enhanced uniformity requirement for EUV layers. Based on the very nature of chemical reactions, the reaction rate between the EUV mask absorber and wet etchant is controlled to develop EUV mask CD correction system. The CD correction facility is developed by selective temperature control through local laser illumination including considerations on laser wavelength, beam size, position precision under wet etching solution environment, resulting in ultra-fine control of wet etch rate to obtain a process. The process developed is expected to play an important role in EUV productivity as it is the only technology that can respond to specification requiring extreme CD quality control of EUV masks.
We propose a novel, rigorous but simplified method for modeling multilayer systems with the well-known effective medium approximation (EMA) particularily for the intermixed interface. The EMA defines the intermixed layer as a mixture of surrounding layers with a proper volume fraction of each and with this the inhomogeneous mixing can be effectively treated. The EMA and transfer matrix method for the multilayer system predicts the reflection of the system at any angle in a given wavelength range with a superb quality, enabling simulations with a precise fit of measured spectra, and accurate and physically robust extraction of optical parameters. Moreover, the intermixing can be quantified, thus, can be considered in a more systematic way. Demonstration is given with in-line production data from Samsung Photomask Shop.
In Electron Beam (EB) exposure for Extreme Ultraviolet (EUV) masks, it is well known that the backscattering behavior differs significantly from conventional photomasks due to their film structure. In particular, short-range scattering derived from the Mo/Si multilayer film increases, causing the resist film to be more strongly affected within narrower range. To compensate for the error in Critical Dimension (CD), Proximity Effect Correction (PEC) in EB writer must be aware of this short-range scattering. While PEC calibration is typically done using the expertise of skilled engineers, adjusting the parameters of the multi-gaussian model significantly increases the burden on engineers due to the complexity of the phenomenon. In this paper, we introduce a method that automates the procedure of PEC parameter optimization by applying Mask Process Correction (MPC) model calibration techniques and providing feedback on backscattering components from empirically fitted model. Through demonstration of exposure experiments, we confirmed that accurate PEC optimization can be achieved by calibrating the MPC model using well-designed gauge patterns and exposure conditions.
High-resolution EUV mask patterning capability has been one of the key factors to enable sub-10nm nanofabrication. Especially, the emergence of the Multi-Beam Mask writer (MBMW) in photomask lithography was a breakthrough for achieving the write time independent of pattern complexity with fine resolution, opening the EUV era. However, as the transition towards high-NA EUV technology is ongoing to extend Moore’s law beyond 2nm-node and over, the novel exposure system challenges photomask manufacturing for higher resolution, accurate patterning fidelity and higher overlay due to 40% reduced resolution limit. Therefore, development of photomask lithography technologies including improved mask writer, photoresist, new materials of the substrate, and optimized EUV process is necessary to meet the desired minimum feature size and local fidelity such as local CDU, line edge roughness (LER) etc. In this paper, we aim to establish the evaluation method for optimized photomask process in upcoming high-NA EUV era. We analyze the budget of each factor contributing to EUV mask patterning performance including locality and resolution, and evaluate the total process window using MBMW. Finally, we discuss the comprehensive requirements and strategies on MBMW technology and relevant process to satisfy the mask patterning in the next generations from EUV mask maker's point of view.
The EUV (Extreme Ultra Violet) photomask manufacturer is focused on the challenges of high quality and works to photomask industry efforts to reduce defect in mass product operations and in other sectors of YMS (Yield Management System). The Tech Insight official said that the EUV photomask product market looks lucrative business because the mass product has been recording an annual CAGR (Compound Annual Growth Rate) growth rate of 1.8 percent in recent years. Moreover, more than 50% of all wafer scanners are models with ArF scanners or higher model. Therefore, Necessary to contribute to production with efficient management and no loss time for expensive EUV equipment. In a EUV era, every single second management is required and a big data analysis system is needed to detect fine changes. In this paper, we create big data using FDC (Fault Detection & Classification) function and use it to study cases of electron beam writer, process, etch, clean, repair, metrology, inspection, and pellicle equipment’s maintenance and risk management. Photomask process excursion could be a result of one or more of degrading equipment part, or equipment issue of the photomask quality from any of the previous steps. Detecting such FDC data excursions and notifying appropriate fab or assembly/test personnel could result in preventing yield loss, improving cycle-time, OEE (Overall Efficiency Effectiveness) and equipment up-time. So far, we will share the research case that acquiring by accumulated knowledge and the facility maintenance method using FDC will accelerate the resolution of the most urgent problems.
As the design rule continues to shrink towards the EUV lithography and beyond, the EUV mask inspection is one of the most important technologies for HVM lithography. Until now, most of the EUV mask inspection was performed by the DUV inspection tools. However, due to the nature of 193nm source, the DUV inspection has resolution limit and is unable to perform through-pellicle inspection. To overcome these limitations, the actinic tool was proposed to achieve high-resolution pattern imaging performance and inspection sensitivity. As a result, the EUV imaging resolution of tested pattern such as DRAM and Logic design was improved by 4.7 times compared to DUV resolution and it can achieve the high-resolution inspection for the extreme OPC type pattern and SRAF pattern. While studies on EUV inspection algorithm was mainly focused on masks for logic devices, we developed the EUV mask inspection technology for DRAM and LOGIC devices with Lasertec ACTIS 150 tool. By using the 13.5nm EUV wavelength the APMI can selectively detect printable defects and reduce the detection of nuisance and false defects. Overall, the defect classification of APMI inspection can be easily done hence the higher-resolution imaging performance. We also applied machine-learning based DB inspection algorithm to overcome resolution limit and accuracy of conventional DB modeling based DUV mask inspection. Finally, by using the 13.5nm actinic source, we acquired the technology to detect phase defect and perform through-pellicle inspection.
As wafer manufacturing shrinks size and pitch of features, and EUV lithography introduces high NA, the control of photomask pattern placement error that contributes to wafer overlay becomes a critical requirement for leading-edge devices. For sub-3nm node devices, the pattern complexity has increased and the exposure dose has also risen due to the use of low-sensitivity resist. Accordingly, to improve the pattern fidelity and reduce the exposure time, masks are manufactured using Multi-Beam Mask Writer (MBMW). As a result of analyzing the mask pattern placement error budget for the main EUV resist of sub-3nm node device, e-beam resist charging was found to be the most significant factor. This is primarily due to the inability to use a charging dissipation layer (CDL), caused by defect issues and degradation of critical dimension (CD) linearity. In this paper, we conduct an in-depth analysis of mask pattern placement errors induced by the charging effect in the MBMW and present a charging control methodology to mitigate these pattern-density-dependent errors. We test the charging effect reduction, an integrated solution of hardware and software for charging control in the MBMW, and showcase its performance for two resists. When applied to mass productions, the charging effect correction (CEC) significantly reduces mask pattern placement errors in a single cell and improves mask overlay between two critical layers aligned in an overlay alignment scheme. Ultimately, this leads to a reduction of wafer in-field overlay error.
Multibeam mask writers(MBMW) have been rapidly occupying on the field of leading edge EUV mask patterning for last several years. Thanks to outstanding ability of MBMW characteristics, sophisticated mask patterns and higher local pattern fidelity with low sensitivity E-beam resist can be realized in EUV era. Now most mask makers want to make good use of MBMW as a standard of making high-end grade masks such as Memory, Logic chips and etc. For this reason, they require higher pattern accuracy, faster writing time, higher data handling efficiency and matured machine stability aiming for the innovative mask making environment. Moreover, Larger coverage is needed as well not only for Low/High-NA EUV masks but also for even ARF masks.
In this paper, we touch key items with regard to comprehensive requirements from the mass production's point of view, for the versatile machines, several works and challenges to overcome on MBMW will be discussed.
With continued design shrinks enabled by EUV lithography, there is a greater need for high sensitivity reticle inspections to minimize defectivity during reticle manufacturing. While laser-illumination based inspection systems have been the workhorses in reticle quality control so far, electron-beam based inspection systems have fundamentally been expected to provide the highest resolution needed for the most critical layers. To address this EUV inspection need at the 3x nm pitch and beyond, KLA has developed a multi-column e-beam inspection system. This new e-beam inspector provides the industry’s highest sensitivity die-to-database inspection system and is based on a unique multi-column e-beam architecture for HVM-worthy throughput. With multiple systems shipped to address gap layers at leading-edge mask shops, this new system has demonstrated significant sensitivity advantages while overcoming the long-standing e-beam limitation of throughput gap vs. optical systems. This paper covers the technology that combines advantages of e-beam resolution with KLA’s database inspection algorithms. Additionally, recent inspection results are reviewed, highlighting the sensitivity results.
Extreme Ultraviolet (EUV) mask has Critical Dimension (CD) errors from various kinds of sources. Those errors are controlled for and corrected by proper correction methods such as fogging effect correction (FEC), loading effect correction (LEC), proximity effect correction (PEC), mask process correction (MPC) and so on. The corrections are mostly done independently. For example, conventionally CD nonlinearity has been the scope of mask process correction (MPC) and proximity effect has been that of proximity effect correction (PEC) because the interaction range considered is different from each other. But in order to improve the CD quality, we may need to consider the residual errors of PEC in MPC as well. For this purpose, we evaluated a new MPC method, named PEC-aware MPC, which considers writer's internal PEC for both model optimization and correction.
Curvilinear mask is getting more widely used as a key technique for resolution enhancement with the progress of feature size shrinkage and multi-beam mask writers (MBMW) adoption. However, it is challenging to print curvilinear pattern accurately, which makes mask process correction (MPC) indispensable for curvilinear mask data preparation. It is known that pattern fidelity degrades at high curvature region by process blur. This degradation leads to various issues such as curvature loss and poor CD quality on printed curvilinear masks. Furthermore, due to anamorphic lithography systems, high-NA mask data is likely to contain patterns with higher curvatures compared to 0.33NA mask. Therefore, improving the accuracy of MPC on high curvature region is becoming more important. In this paper, we present a novel MPC method which deforms shape of high curvature region of any curvilinear pattern aggressively to achieve good fidelity. Using simulation and printed results of ellipse patterns with various curvatures, we will show that this MPC technique can improve pattern fidelity in regions of high curvature.
Since the design nodes gradually decreased and EUV production became reality, the data volume is continuously increasing due to Hard OPC & Flare Correction. Multi-Beam Mask Writers (MBMW) enabled mask exposures with curvilinear and circle pattern that have not been possible before. This soon led to an increase in the number of vertexes of design data and an increase in Mask Data Preparation turnaround times (MDP TAT). A data flow based on the newly developed MBW-2 file format was developed jointly with Nippon Control System and IMS nanofabrication and significantly improved MDP TAT. The effect was confirmed by verifying it with actual data using large-volume data and curvilinear data EUV masks exposed on MBMW. In addition, the MDP TAT was further improved by studying file write method. In this paper, we introduce the concept and application of the new data flow. Furthermore we will present the results on TAT and output file sizes. Finally, we will discuss each step in the data flow in detail.
Curvilinear pattern has been introduced as one of solutions for complex and challenging next generation lithography. However mask process correction (MPC) has been developed originally for Manhattan pattern. MPC now is using only orthogonal CD measurement information (so-called conventional modeling) which is not sufficient to represent all information needed to curvilinear pattern. In this reason a new solution for MPC is required for curvilinear pattern. Contour modeling is one of the known modeling techniques, which uses information of many vertices along pattern contour instead of orthogonal CD values. However contour modeling has not been evaluated yet in mass production level. As an evaluating procedure, we introduce a quality assurance (QA) method using virtual SEM contour. By adopting this QA method, we can analyze errors only from modeling itself separated from process induced errors. Moreover, aspect of error budget can be estimated by adding various errors on purpose. In this paper, we present the QA results of contour modeling and the comparison to the conventional modeling. Some discussion and future works will be followed.
The EUV (Extreme Ultraviolet) lithography is certainly technology for 10nm or less which was used to mass-produce chips contributes to improving the minimum feature size, reducing the process step by enabling DPT (Double Patterning Technology)-less, and improving Fab. operation. Due to the expansion of EUV layers in mass production of below 5nm, EUV mask layers are continuously increasing for mass product. In order to EUV mask mass product, it is important to investment of very high expensive EUV tools and facilities, improve longterm TAT (Turn Around Time), and management of challenge yields. Among the manager of stable yields which are performed just after every process to minimize the continuous defect of mask during the process handling, take an inspection every single mask is the best way. However, investment in inspection tools and increase in inspection step are not efficient due to inefficient factory operation due to very high cost and long TAT delay. Currently most mask manufacturing companies are using manual visual inspection by human eyes and microscope. In this paper, quality monitoring system was developed to detect micro-unit front and back defects, scratches, contaminations, and coating defects by applying the Image Segmentation technique to photos taken on the front and back of the mask by modern load port (refer to wafer EFEM: End Front Equipment Module). In an effort to understand further, the authors evaluated three image segmentations technologies using CNN (Convolutional Neural Network), Sobel Edge Detector, AI (Artificial Intelligence) for mask yield managing program. This method can provide the means for determining scraps and analyzing complex log files for a quality issue found in mask fabrication. These challenges will make a paradigm shift in mask industry for the EUV mask mass product to make chips. The mask tool manufacturers unify load port specifications, it will be able to contribute to new technology and process improvement in the future.
For the era of multi-beam mask writer, in the 2019 BACUS conference, we proposed the formation of a data format working group to address the need for curvilinear data representation. The new working group was formed in October 2019 driven by major semiconductor companies with representations from Mentor, Synopsys, Nippon Control Systems, D2S, Aselta, and ASML-BRION with the initial goals to quantify the curvilinear data volume problem; develop, test and implement new or revised formats based on OASIS; and to formalize the working group as a SEMI task force (TF). In this paper, the necessity of a new curvilinear data format and the progress of our TF will be introduced. Furthermore, we demonstrate that given the nature of curvilinear data, representing it using native curve formats has significant value to reduce file size for future mask making flows.
In extreme ultra violate(EUV) era, the resolution is highly improved by its shorter wavelength than ArF lithography. That changes double patterning technology(DPT) or quadratic pattering technology(QPT) process by ArF to single exposure technology(SET) process by EUV. But the number of EUV photons that have same amount of energy in unit area is reduced by 10 times more than that of ArF photons, and it occurs stochastic effect like random bridge and necking defects. In other words, one EUV photon has larger energy than one ArF photon, but the unstable probability to transfer its energy to photo resist(PR) induces the lack of active energy or more of it so that results in the random defects1 . To minimize this problem, it is needed to improve normalized image log slope(NILS). Introducing curvilinear pattern is one of the techniques improves NILS and it seems that optical proximity correction(OPC) is ready for producing them. But for their high complexity, the difficulties of actual implementation on mask are remained. In the paper, we will announce the several difficulties and requirement to raise the maturity for curvilinear pattern mask fabrication.
Multi-beam mask writer MBM-1000 is developed for N5. It is designed to accomplish higher throughput than a singlebeam
VSB writer EBM-9500 at shot count higher than 500 G/pass, and write masks with low sensitivity resist to have
better CDU and patterning resolution. Product version of blanking aperture array (BAA) for MBM-1000 is fabricated
along with data transfer system to accomplish data rate of 300 Gbps. They have been integrated with writing control
software based on MBF format, a tool-specific format which handles any-angle pattern and polygon patterns. Writing
test without re-adjustment of beam current showed that exposure time control by BAA blanking is very stable, and linear
CD drift is less than 0.1 nm for 10 hours. Complex OPC pattern and ring pattern were printed on low-sensitivity pCAR
resist and showed good resolution to resolve 25 nm isolated line.
Multi-beam mask writer is under development to solve the throughput and patterning resolution problems in
VSB mask writer. Theoretically, the writing time is appropriate for future design node and the resolution is
improved with multi-beam mask writer. Many previous studies show the feasible results of resolution, CD
control and registration. Although such technical results of development tool seem to be enough for mass
production, there are still many unexpected problems for real mass production.
In this report, the technical challenges of multi-beam mask writer are discussed in terms of production and
application. The problems and issues are defined based on the performance of current development tool
compared with the requirements of mask quality. Using the simulation and experiment, we analyze the specific
characteristics of electron beam in multi-beam mask writer scheme. Consequently, we suggest necessary
specifications for mass production with multi-beam mask writer in the future.
Recently, Multi-Beam Mask Writer (MBMW) scheme is newly considered for next generation writing scheme. As the
MBMW writing uses many multi-array bundle beams with small spot size, the fast writing and complex pattering is
possible conceptually.
The target dose level of MBMW is high around 100μC/cm2 and the target of total writing time is within 10 hours for
next generation layout with complex and small node pattern. The risks of high dose writing are rising of blank
temperature, chemical reaction with photo-resist and charging effects in blank. In addition, the fast writing can cause the
rising of temperature in blank.
The heating effect can be divided into local and global terms, and each effect of critical dimension (CD) and
registration was analyzed by heating effect. In case of MBMW, the global heating is more critical than local heating.
Therefore, we need to study about the global heating effect which can affect global registration in MBMW.
In this paper, we study about the global heat distribution on mask blank in certain MBMW writing condition, and the
directional deformation of blank which can affect global registration was analyzed by using Finite Element Method
(FEM). We approach with two kinds of modified heat model and the FEM model was verified with analytical calculation.
The temperature variation and deformation distribution were achieved with transient method with the writing
conditions, in case of 100μC/cm2 of total dose, 50kV of acceleration voltage, 100% of chip density and 10 hour of total writing time. Therefore, we can consider the writing conditions according to mask specification in MBMW scheme.
Because mask patterning quality of CD uniformity, MTT, registration and smaller assist feature size is important for wafer patterning, the higher exposure dose and complex pattern design will be necessary. It is the reason why the faster and more accurate e-beam mask writer is needed for future design node. Multi-beam mask writer is the most promising new e-beam mask writer technology for future sub-10nm device mask patterning to solve the pattern quality issue and writing time problem. In this report, the technical challenges of multi-beam mask writer are discussed by comparison with problems of current VSB e-beam mask writer. Comparing with e-beam mask writer which has the critical issues of beam size and position control, the application of entirely different methods and techniques of CD and position control is essential for multi-beam mask writer which has new architecture and writing strategy. Using the simulation method, we present the different challenges between VSB and multi-beam mask writer. And there are many important technical requirements to achieve expected specification of multi-beam mask writer. To understand such requirements, the patterning simulation and mathematical calculation are done for analysis. Based on the patterning simulation, the detail technical requirements and issues of multi-beam mask writer are achieved. Consequently, we suggest the direction of multi-beam mask writer development in terms of technical challenges and requirements.
As semiconductor features shrink in size and pitch, the extreme control of CD uniformity, MTT and image placement
is needed for mask fabrication with e-beam lithography. Among the many sources of CD and image placement error,
the error resulting from e-beam mask writer becomes more important than before. CD and positioning error by e-beam
mask writer is mainly related to the imperfection of e-beam deflection accuracy in optic system and the charging and
contamination of column. To avoid these errors, the e-beam mask writer should be designed taking into account for
these effects. However, the writing speed is considered for machine design with the highest priority, because the e-beam
shot count is increased rapidly due to design shrink and aggressive OPC. The increment of shot count can make the
pattern shift problem due to statistical issue resulting from e-beam deflection error and the total shot count in layout.
And it affects the quality of CD and image placement too.
In this report, the statistical approach on CD and image placement error caused by e-beam shot position error is
presented. It is estimated for various writing conditions including the intrinsic e-beam positioning error of VSB writer.
From the simulation study, the required e-beam shot position accuracy to avoid pattern shift problem in 22nm node and
beyond is estimated taking into account for total shot count. And the required local CD uniformity is calculated for
various e-beam writing conditions. The image placement error is also simulated for various conditions including e-beam
writing field position error. Consequently, the requirements for the future e-beam mask writer and the writing
conditions are discussed. And in terms of e-beam shot noise, LER caused by exposure dose and shot position error is
studied for future e-beam mask writing for 22nm node and beyond.
KEYWORDS: Photomasks, Extreme ultraviolet, Scattering, Monte Carlo methods, Ray tracing, Electron beam lithography, Molybdenum, Metals, Ion beams, Laser scattering
The ray tracing of electron based on Monte Carlo is simulated by GEANT software to investigate the electron scattering
property in ArF photomask and EUV photomask. By Monte Carlo simulation, we have presented the mechanism of
electron scattering in EUV photomask and simulated the electron distribution which gives rise to change the patterning
performance of EUV photomask, compared with those of ArF photomask. Furthermore, the overlay error of EUV
photomask has been analyzed by the charging model.
EUV photomask has the additional electron distribution in the range of 2um, which comes from the strong electron
scattering at Mo/Si multilayer. Because of this additional electron distribution, EUV photomask has the pattern size
error due to proximity effect of electron when the conventional Gaussian function is used to correct the proximity effect
of ArF photomask. The maximum residual error due to the proximity effect in EUV photomask is 7nm. Furthermore, we
have confirmed that the linearity of pattern size is so different from ArF photomask and it is well explained with the
Gaussian blur model based on the electron distribution of EUV photomask.
As semiconductor features shrink in size and pitch, there are strong needs for an advanced mask writer which has better
patterning quality. Among various requirements for next photomask writer, we have focused on the requirements of ebeam
size and position accuracy for hp 32nm and beyond generation.
At the era of DPT, EUV, and complex OPC, the photomask is required to have extreme control of critical dimension
(CD). Based on simulation and experiment, we present the e-beam requirements for advanced mask writer, in view
point of stability and accuracy. In detail, the control of e-beam size in mask writer should be decreased to 0.5nm
because the size error of e-beam gives rise to large CD error according to the high complexity of mask pattern.
Furthermore, the drift error of beam position should be smaller than 1nm to obtain the tight pattern placement error and
to minimize the edge roughness of mask pattern for the era of computational lithography and EUV lithography.
As semiconductor features shrink in size and pitch, the extreme control of CD uniformity and MTT is needed for
mask fabrication with e-beam lithography. And because of huge shot density of data, the writing time of e-beam
lithography for mask fabrication will be increased rapidly in future design node.
The beam drift caused by charging of optic system and current density drift can affect the beam size, position and
exposure dose stability. From the empirical data, those are the function of writing time. Although e-beam lithography
tool has the correction function which can be applied during writing, there are remained errors after correction which
result in CD uniformity error. According to the writing time increasing, the residual error of correction will be more
important and give the limit of CD uniformity and MTT.
In this study, we study the beam size and exposure dose error as a function of time. Those are mainly caused by
charging and current density drift. And we present the predicted writing time of e-beam lithography below 32nm node
and estimate its effect on CD control error. From the relation between writing time and CD control error, we achieve the
limit of CD uniformity with e-beam mask writer. And we suggest the method to achieve required CD uniformity at
22nm node and beyond.
Recent Low k1 era requires aggressive OPC technology with advanced lithography technology. The aggressive OPC
contains the rounded pattern and a lot of assistant pattern which are the main source to increase the shot division. We
have defined the shot complexity, which is defined by the ratio of number of shot between the interested pattern and the
1:1 L/S pattern. Based on shot complexity parameter, we have estimated the writing time as the device node decreases.
We expect that the aggressive OPC and the high dose could generate severely the writing time issue in 32nm node era.
As semiconductor features shrink in size and pitch, the image placement error at photomask has been interested as an
important factor to be reduced. Especially, by the development of double exposure technique (DET) or double
patterning technique (DPT) for sub-45 nm node the image placement error is required to be controlled tightly.
Following ITRS roadmap, when DET or DPT is used the registration for sub-45 nm node is required to be less than 4
nm but this specification still corresponds to the challengeable goal. Among various sources of image placement errors,
here, we focus on the error occurring at patterning process of photomask and discuss its effect on the photomask
overlay. We name the image placement error occurred at patterning process due to e-beam charging effect, absorber
etching effect, and so on as the pattern loading effect. We quantify the amount of pattern loading effect on registration
error, analyze it with the help of simulation and experiment, and discuss the character of each error and correction
method.
As semiconductor features shrink in size and pitch, the pattern placement error at photomask, that is, the registration
becomes more important factor to be reduced. Following ITRS roadmap, the registration for sub-45 nm node is required
to be less than 5 nm but this specification still corresponds to the challengeable goal. Among several reasons to induce
registration, here, we have focused on four major registration errors: e-beam positioning error, patterning effect, pellicle
attachment effect, and sampling error of measurement. We quantify and analyze each error with the help of finite
element modeling and by experiment. Based on these results, we present the current status and the goal of each error for
the roadmap of sub-45 nm node.
KEYWORDS: Mask making, Photomasks, Electron beam lithography, Monte Carlo methods, Control systems, Optical proximity correction, Electron beams, Laser scattering, Scattering, Backscatter
The tight MTT control is required for the mask process of sub-50nm design node due to the complex OPC and
insufficient process margin. The MTT below 5nm is already required for the critical layers. Below 4nm is required for
sub-50nm node. In the viewpoint of this requirement, the MTT control is important for the mask fabrication.
According to the shrinking design node, the linearity is the main issue to satisfy MTT required. In the electron beam (ebeam)
lithography, the linearity results are strongly related to the resolution of the mask process. Isolated and dense
patterns have the different linearity behaviors due to the different contrast mainly caused by the backward scattering
contribution and develop process. Because of this reason, the conventional method of proximity effect correction (PEC)
optimization is unlikely to satisfy the MTT requirement. New PEC optimization is necessary for sub-50nm node.
In this report, new PEC optimization method is proposed. This method reduces the PEC error of conventional
optimization method known as a few nm. Because of the linearity, the error of conventional PEC optimization is
amplified according to the shrinking design. Therefore, the PEC error of conventional method is larger than the MTT
requirement for sub-50nm node. This new method is designed to overcome this problem. It takes into account for the
properties of each layer. Based on the analysis of composition of each layer, the different PEC optimization to fit the
each layer and design node is applied. It is able to be applied for the mask fabrication of sub-50nm memory device. The
improvement of MTT is achieved by the reduction of the PEC error with new PEC optimization.
With decreasing the design node, there are some candidates for the optical lithography technology. Double Exposure
Technology (DET) is the one of the solution to extend the resolution limit down to k1 less than 0.25 for the next
generation devices. To accomplish DET, photomask MTT, CD uniformity, and the overlay between the layers for the
dual exposure are important as the photomask process aspect.
MTT and CD uniformity have been frequently discussed for Single Exposure Technology (SET), but the overlay and
the registration have not been discussed yet with the view of DET. In this work, the feasibility of mask fabrication,
especially the overlay and the registration for DET are analyzed. The current mask limit of DET is discussed
considering MTT, uniformity, and overlay.
KEYWORDS: SRAF, Scattering, Electron beams, Mask making, Photomasks, Laser scattering, Critical dimension metrology, Monte Carlo methods, Electron beam lithography, Diffusion
For the half pitch below 45nm, the required sub-resolution feature size is about to be 60nm, and the uniformity of dense
lines to be below 3.4nm for the mask fabrication. To achieve this requirement, the reduction of beam blur is necessary.
On the mask patterning using 50keV electron beam, the beam blurring due to coulomb interaction and resist
characteristics is the main effect of the pattern image degradation and the limit of CD uniformity.
In this report, we present the effect of the beam blur induced by coulomb interaction and resist. And we report the recent
simulated and experimental results on the resolution change depending on bream blur and design node. Finally, we
conclude that the reduction of beam blur can improve the mask quality and there is a compatible condition between the
beam blur and the mask fabrication.
KEYWORDS: Vestigial sideband modulation, Electron beams, Photomasks, Electron beam lithography, Critical dimension metrology, Laser scattering, Scattering, Monte Carlo methods, Backscatter, Data modeling
Recently, the mask writing technology with 50keV electron beam energy is close to its resolution limit. It will be hard to achieve 30nm node mask pattern in near future. Especially the writing of OPC and 2-D patterns will be critical issue. Furthermore, according to the shrinking of pattern, the tight mask CD uniformity is required due to large MEEF. About 2.4nm mask CD uniformity will be required in terms of 3σ.
In this report, we analyze the beam energy effect on the resolution improvement using the quantitative analysis of beam blurring including the resist effect. From the experimental result, the total blur is about 45.57nm with 50keV VSB and 43.70nm with 100keV spot beam. And we compare the dose margin and linearity for each case. Dose margin by 50keV VSB is 0.96nm/%dose and 0.89nm/%dose for 100keV spot beam. We conclude that the effect by the increasing of electron beam energy is not so much significant and the reduction of the blur by electron beam column is as much as efficient. And finally we calculate the limitation of CD uniformity for each case.
In order to make the mask for the photolithography, e-beam direct writing system has been used because e-beam source is most controllable among the direct systems. However, the development of the new e-beam system is scheduled slowly and there is no conspicuous breakthrough technology to improve the quality of the mask comparing to the wafer exposure tool development. Lately, a new laser writing system, Sigma7300 is introduced and shows 200x reduction projection system and very high throughput relative to the e-beam direct writing system. Because it can write the full layout in a mask less than 4
hours, the high reproducibility is expected. Although the current tool is using KrF light source and 0.82NA reduction projection lens column, the higher resolution tool using the ArF light source can be expected in the future. In this paper the possible resolution limit of the Sigma7300 is discussed and the application example for the mask fabrication. To estimate the process capability, the optical simulation is performed and compared with the experimental results. Because its patterned image is not so clear like the e-beam writer, the pattern rounding, the line-end shortening, and the minimum assist feature are discussed with the patterns of the e-beam writer. At the end the important qualities of the mask like defects are compared with the results of the e-beam system.
Implementation of high resolution E-beam tools is an attractive candidate for next generation lithography. To understand the forward scattering blur and proximity in 100kV E-beam tool, we studied E-beam acceleration voltage effects on dose sensitivity and iso-dense CD bias. We measured and analyzed the dose sensitivity (nm/%dose) near the design CD using various local density patterns. Proximity effects due to backscattering were much larger in 100keV exposure and caused the degraded dose sensitivity. We made a simple model and analyzed each contribution from a resist process, forward scattering and backscattering. We concluded that backscattering was the major reason of decreasing ILS(Image Log Slope) and the difference of forward scattering blur between 50 and 100 keV was negligible. Backscattering contribution compared to that of forward scattering was two times larger in the 100keV exposure, which can make accurate CD control difficult.
KEYWORDS: Etching, Critical dimension metrology, Modulation, Dry etching, Photomasks, System on a chip, Backscatter, Data modeling, Electron beams, Electron beam lithography
The correction of fogging effect from an electron beam writer and loading effect from a dry etcher are known as the important factors of non-uniformity of mask CD. To achieve the improvement of CD uniformity, the fogging and loading effect are modeled as a function of pattern density. Taking into account the different behavior of fogging and loading effect on the pattern density, the amount of correction is able to be extracted using the promising modeling and dose modulation technique. In this work, we report the evaluation of correction method with improved model using the linear combination of fogging and loading effect. We compared the various cases and presented the best result of the improvement of CD uniformity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.