Mirror-based and zone plate-based imaging systems are being used in actinic extreme ultraviolet (EUV) reticle review tools. With regard to zone plates, a short working distance is advantageous in terms of the required spectral bandwidth, manufacturability, and potential throughput and imaging performance. Zone plates therefore typically have a short working distance. The industry has adopted the use of an EUV pellicle to protect the photomask. Imaging photomask through-pellicle requires a working distance larger than 2.5 mm. A zone-plate-based EUV mask microscope with a 3-mm working distance has been commissioned at beamline 11.3.2 of the Advanced Light Source. Through-pellicle imaging at an exposure time of 2 s is demonstrated. The instrument achieves an image contrast of 95% on large features on a photomask with a tantalum-based absorber. Imaging down to 45-nm half pitch (mask scale) is demonstrated. A NILS of 2.55 is achieved on 60-nm half-pitch (mask scale) lines and spaces. These results demonstrate that zone-plate-based imaging systems can meet the requirements of an actinic EUV mask review tool in terms of imaging performance and throughput in an instrument compatible with EUV pellicles.
Vibration levels in MET5 exposures were reduced from 1.5 nm RMS to 0.8 nm RMS by tuning the vibration isolation system and removing non-compliant hardware. Frequency doubling exposures were improved by replacing the Fourier synthesis pupil scanner mirror. Focus-exposure-matrix outliers have been solved by patching a bug in the control software. 9 nm half-pitch lines and 8 nm half-pitch lines were printed in 11 nm thick MOx resist.
A 0.5-NA extreme ultraviolet micro-field exposure tool has been installed and commissioned at beamline 12.0.1.4 of the Advanced Light Source synchrotron facility at Lawrence Berkeley National Laboratory. Commissioning has demonstrated a patterning resolution of 13 nm half-pitch with annular 0.35 – 0.55 illumination; a patterning resolution of 8 nm half-pitch with annular 0.1 – 0.2 illumination; critical dimension (CD) uniformity of 0.7 nm 1σ on 16 nm nominal CD across 80% of the 200 um x 30 um aberration corrected field of view; aerial image vibration relative to the wafer of 0.75 nn RMS and focus control and focus stepping better than 15 nm.
The Berkeley MET5, funded by EUREKA, is a 0.5-NA EUV projection lithography tool located at the Advanced Light Source at Berkeley National Lab. Wavefront measurements of the MET5 optic have been performed using a custom in- situ lateral shearing interferometer suitable for high-NA interferometry. In this paper, we report on the most recent characterization of the MET5 optic demonstrating an RMS wavefront 0.31 nm, and discuss the specialized mask patterns, gratings, and illumination geometries that were employed to accommodate the many challenges associated with high-NA EUV interferometry.
The SEMATECH High Numerical Aperture Actinic Reticle Review Project (SHARP) is a synchrotron-based extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP has been operational and serving users since June, 2013, and in eight months, SHARP has recorded over 71,000 high-resolution images. Exposure times are 5 to 8 seconds, and 8 or more through-focus series can be collected per hour at positions spanning the entire mask surface. SHARP’s lossless coherence-control illuminator and variable numerical aperture (NA) enable researchers to emulate the imaging properties of both current and future EUV lithography tools. SHARP’s performance continues to improve over time due to tool learning and upgraded capabilities, described here. Within a centered, 3-μm square image region, we demonstrate an illumination power stability above 99%, and an average uniformity of 98.4%. Demonstrations of through-focus imaging with various illumination coherence settings highlight the capabilities of SHARP.
The SEMATECH High Numerical Aperture Actinic Reticle Review Project (SHARP) is a newly commissioned,
synchrotron-based extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP offers several major
advances including objective lenses with 4xNA values from 0.25 to 0.625, flexible, lossless coherence control through a
Fourier-synthesis illuminator, a rotating azimuthal plane of incidence up to ±25°, illumination central ray angles from 6 to 10°, and a continuously tunable, EUV illumination wavelength. SHARP is now being used to study programmed and
native mask defects, defect repairs, mask architecture, optical proximity correction, and the influence of mask substrate
roughness on imaging. SHARP has the ability to emulate a variety of current and future lithography tool numerical
apertures, and illumination properties. Here, we present various performance studies and examples where SHARP’s
unique capabilities are used in EUV mask research.
The SEMATECH High-NA Actinic Reticle review Project (SHARP) is a synchrotron-based, EUV-wavelength microscope, dedicated to photomask imaging, now being commissioned at Lawrence Berkeley National Laboratory. In terms of throughput, resolution, coherence control, stability and ease of use, SHARP represents a significant advance over its predecessor, the SEMATECH Berkeley Actinic Inspection Tool (AIT), which was decommissioned in September 2012. SHARP utilizes several advanced technologies to achieve its design goals: including the first Fouriersynthesis illuminator on a zoneplate microscope, EUV MEMS mirrors, and high-efficiency freestanding zoneplate lenses
with numerical aperture values up to 0.625 (4×). In its first week of operation, SHARP demonstrated approximately 150 times higher light throughput than AIT and a spatial resolution down to 55-nm half-pitch with 0.42 4×NA (i.e. the smallest feature size on our test mask.) This paper describes the current status of the tool commissioning and the performance metrics available at this early stage.
We demonstrate a comprehensive and broadly applicable methodology for the optimal in situ configuration of bendable soft x-ray Kirkpatrick-Baez mirrors. The mirrors used for this application are preset at the Advanced Light Source Optical Metrology Laboratory prior to beamline installation. The in situ methodology consists of a new technique for simultaneously setting the height and pitch angle of each mirror. The benders of both mirrors were then optimally tuned in order to minimize ray aberrations to a level below the diffraction-limited beam waist size of 200 nm (horizontal)×100 nm (vertical) . After applying this methodology, we measured a beam waist size of 290 nm (horizontal)×130 nm (vertical) with 1 nm light using the Foucault knife-edge test. We also discuss the utility of using a grating-based lateral shearing interferometer with quantitative wavefront feedback for further improvement of bendable optics.
We demonstrate a comprehensive and broadly applicable methodology for the optimal in situ configuration of bendable
soft x-ray Kirkpatrick-Baez mirrors. The mirrors used for this application are preset at the ALS Optical Metrology
Laboratory prior to beamline installation. The in situ methodology consists of a new technique for simultaneously setting
the height and pitch angle of each mirror. The benders of both mirrors were then optimally tuned in order to minimize
ray aberrations to a level below the diffraction-limited beam waist size of 200 nm (horizontal) × 100 nm (vertical). After
applying this methodology, we measured a beam waist size of 290 nm (horizontal) × 130 nm (vertical) with 1 nm light
using the Foucault knife-edge test. We also discuss the utility of using a grating-based lateral shearing interferometer
with quantitative wavefront feedback for further improvement of bendable optics.
EUV exposures at the SEMATECH Berkeley Microfield Exposure Tool have demonstrated patterning down to 15 nm
half pitch in a chemically amplified resist at a dose of 30 mJ/cm2. In addition, the sensitivity of two organic chemically
amplified EUV resists has been measured at 6.7 nm and 13.5 nm and the sensitivity at 6.7 nm is shown to be a factor of
6 lower than the sensitivity at 13.5 nm. The reduction of the sensitivity of each resist at 6.7 nm relative to the sensitivity
at 13.5 is shown to be correlated to a reduction of the mass attenuation coefficients of the elements involved with
photoabsorption.
Although Extreme ultraviolet lithography (EUVL) is now well into the commercialization phase, critical challenges
remain in the development of EUV resist materials. The major issue for the 22-nm half-pitch node remains
simultaneously meeting resolution, line-edge roughness (LER), and sensitivity requirements. Although several materials
have met the resolution requirements, LER and sensitivity remain a challenge. As we move beyond the 22-nm node,
however, even resolution remains a significant challenge. Chemically amplified resists have yet to demonstrate the
required resolution at any speed or LER for 16-nm half pitch and below. Going to non-chemically amplified resists,
however, 16-nm resolution has been achieved with a LER of 2 nm but a sensitivity of only 70 mJ/cm2.
We present the potential optical performance capabilities of a next-generation extreme ultraviolet (EUV) mask-imaging
microscope, based on the proven optical principle of the SEMATECH Berkeley Actinic Inspection Tool (AIT), but
surpassing it in every performance metric. The new synchrotron-based tool, referred to here as the SEMATECH
Berkeley Actinic Imaging Tool at 0.5 NA (AIT5) will enable research on multiple generations of EUV lithography
design rules. The proposed microscope features an array of user-selectable Fresnel zoneplate lenses with diffractionlimited
quality and different optical properties, such as numerical aperture (NA) and magnification. An efficient all-EUV
optical system with variable high magnification and direct EUV detection provides images with the highest possible
signal-to-noise ratio. A lossless, customizable-coherence illuminator based on angle-scanning mirrors and an ellipsoidal
condenser creates arbitrary pupil fill patterns, with partial coherence σ values up to 1.0 at 0.5 4×NA and higher. In
combination with rotated zoneplate objective lenses, the illuminator will be capable of a range of discrete azimuthal
angles as well, modeling the behavior of EUV steppers across a ring-field of view.
As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused
on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude
of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced
research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT).
Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools
benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible
with commercial tools.
The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence
illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained.
Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such
methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an
imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a
correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in
chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the
CAR champion from 2008 remains as the highest performing CAR tested to date.
To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA
microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm
half pitch.
Microfield exposure tools (METs) play a crucial role in the development of extreme ultraviolet (EUV) resists and masks.
One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Using conventional illumination this
tool is limited to approximately 22-nm half pitch resolution. However, resolution enhancement techniques have been
used to push the patterning capabilities of this tool to half pitches of 18 nm and below. This resolution was achieved in a
new imageable hardmask which also supports contact printing down to 22 nm with conventional illumination. Along
with resolution, line-edge roughness is another crucial hurdle facing EUV resists. Much of the resist LER, however, can
be attributed to the mask. We have shown that intenssionally aggressive mask cleaning on an older generation mask
causes correlated LER in photoresist to increase from 3.4 nm to 4.0 nm. We have also shown that new generation EUV
masks (100 pm of substrate roughness) can achieve correlated LER values of 1.1 nm, a 3× improvement over the
correlated LER of older generation EUV masks (230 pm of substrate roughness). Finally, a 0.5-NA MET has been
proposed that will address the needs of EUV development at the 16-nm node and beyond. The tool will support an
ultimate resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch.
To accurately estimate the flare contribution from the out-of-band (OOB), the integration of a DUV source into the
SEMATECH Berkeley 0.3-NA Micro-field Exposure tool is proposed, enabling precisely controlled exposures along
with the EUV patterning of resists in vacuum. First measurements evaluating the impact of bandwidth selected
exposures with a table-top set-up and subsequent EUV patterning show significant impact on line-edge roughness and
process performance. We outline a simulation-based method for computing the effective flare from resist sensitive
wavelengths as a function of mask pattern types and sizes. This simulation method is benchmarked against measured
OOB flare measurements and the results obtained are in agreement.
The problem of carbon contamination on extreme ultraviolet (EUV) optics, causing unacceptably low reflectivity in
mirrors, must be solved before industry will adopt the technology on a production scale. Breaking vacuum, removing
and then cleaning mirrors is a time-consuming and expensive method for dealing with the problem. A safe yet effective
in situ method for cleaning EUV optics and maintaining vacuum chamber cleanliness is important for progress in EUV
lithography. Carbon contamination has also been a problem for the scanning electron microscopes (SEMs) leading to
reduced image quality. The use of low power downstream plasma cleaner has been shown to be effective in removing
carbon contamination from SEMs. The plasma dissociates oxygen molecules into neutral oxygen radicals. These
radicals flow throughout the SEM vacuum chamber and chemically remove the carbon contamination. Since the process
works by chemical etch and not by sputter etch, the capping layer on EUV mirrors will not be damaged by the cleaning
process. The production of chemically etching oxygen radicals by plasma cleaning was measured using a quartz crystal
microbalance. The effectiveness of the downstream plasma cleaning process was also tested on EUV mirrors.
For the commercialization of extreme ultraviolet lithography (EUVL), discharge or laser-produced, pulsed plasma light sources are being considered. These sources are known to emit into a broad range of wavelengths that are collectively referred to as out-of-band (OOB) radiation by lithographers. Multilayer EUV optics reflect OOB radiation emitted by the EUV sources onto the wafer plane, resulting in unwanted background exposure of the resist (flare) and reduced image contrast. The reflectivity of multilayer optics at the target wavelength of 13.5 nm is comparable to that of their reflectivity in the deep ultraviolet (DUV) and UV regions from 100 to 350 nm. The aromatic molecular backbones of many of the resists used for EUV are equally absorptive at specific DUV wavelengths as well. To study the effect of these wavelengths on imaging performance in a real system, we are in the process of integrating a DUV source into the Sematech Berkeley 0.3-NA microfield exposure tool (MET). We present the simulation-based imaging results predicting the potential impact of OOB based on known resist, mask, and multilayer conditions. It should be noted that because the projection optics work equally well as imaging optics at DUV wavelengths, OOB radiation cannot be treated simply as uniform background or DC flare.
For the commercialization of extreme ultraviolet lithography (EUVL), discharge or laser produced, pulsed plasma
light sources are being considered. These sources are known to emit into a broad range of wavelengths that are
collectively referred to as the out-of-band (OOB) radiation by lithographers. Multilayer EUV optics reflect OOB
radiation emitted by the EUV sources onto the wafer plane resulting in unwanted background exposure of the
resist (flare) and reduced image contrast. The reflectivity of multilayer optics at the target wavelength of 13.5
nm is comparable to that of their reflectivity in the deep ultraviolet (DUV) and UV regions from 100-350 nm.
The aromatic molecular backbones of many of the resists used for EUV are equally absorptive at specific DUV
wavelengths as well. In order to study the effect of these wavelengths on imaging performance in a real system,
we are in the process of integrating a DUV source into the SEMATECH Berkeley 0.3-NA Microfield Exposure
Tool (MET). The MET plays an active role in advanced research in resist and mask development for EUVL
and as such, we will utilize this system to systematically evaluate the imaging impact of DUV wavelengths in a
EUV system. In this paper, we present the optical design for the new DUV component and the simulation-based
imaging results predicting the potential impact of OOB based on known resist, mask, and multilayer conditions.
It should be noted that because the projection optics work equally well as imaging optics at DUV wavelengths,
the OOB radiation cannot be treated simply as uniform background or DC flare.
The effort to produce defect-free mask blanks for EUV lithography relies on increasing the detection sensitivity of
advanced mask inspection tools, operating at several wavelengths. We describe the unique measurement capabilities of a
prototype actinic (EUV wavelength) microscope that is capable of detecting small defects and reflectivity changes that
occur on the scale of microns to nanometers. The defects present in EUV masks can appear in many well-known forms:
as particles that cause amplitude or phase variations in the reflected field; as surface contamination that reduces reflectivity
and contrast; and as damage from inspection and use that reduces the reflectivity of the multilayer coating. This paper
presents an overview of several topics where scanning actinic inspection makes a unique contribution to EUVL research.
We describe the role of actinic scanning inspection in defect repair studies, observations of laser damage, actinic inspection
following scanning electron microscopy, and the detection of both native and programmed defects.
The SEMATECH Berkeley Actinic Inspection Tool (AIT) is a dual-mode, scanning and imaging extreme-ultraviolet (EUV) microscope designed for pre-commercial EUV mask research. Dramatic improvements in image quality have been made by the replacement of several critical optical elements, and the introduction of scanning illumination to im-prove uniformity and contrast. We report high quality actinic EUV mask imaging with resolutions as low as 100-nm half-pitch, (20-nm, 5× wafer equivalent size), and an assessment of the imaging performance based on several metrics. Modulation transfer function (MTF) measurements show high contrast imaging for features sizes close to the diffraction-limit. An investigation of the illumination coherence shows that AIT imaging is much more coherent than previously anticipated, with σ below 0.2. Flare measurements with several line-widths show a flare contribution on the order of 2-3% relative intensity in dark regions above the 1.3% absorber reflectivity on the test mask used for these experiments. Astigmatism coupled with focal plane tilt are the dominant aberrations we have observed. The AIT routinely records 250-350 high-quality images in numerous through-focus series per 8-hour shift. Typical exposure times range from 0.5 seconds during alignment, to approximately 20 seconds for high-resolution images.
The production of defect-free mask blanks remains a key challenge for EUV lithography. Mask-blank inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. We have recently observed and here report the identification of bump-type buried substrate defects, that were below the detection limit of a non-actinic (i.e. non-EUV) inspection tool. Presently, the occurrence of pit-type defects, their printability, and their detectability with actinic techniques and non-actinic commercial tools, has become a significant concern.
We believe that the most successful strategy for the development of effective non-actinic mask inspection tools will involve the careful cross-correlation with actinic inspection and lithographic printing. In this way, the true efficacy of prototype inspection tools now under development can be studied quantitatively against relevant benchmarks. To this end we have developed a dual-mode actinic mask inspection system capable of scanning mask blanks for defects (with simultaneous EUV bright-field and dark-field detection) and imaging those same defects with a zoneplate microscope that matches or exceeds the resolution of EUV steppers.
In 2002, first experiments at the Advanced Light Source (ALS) at Berkeley, allowed us to test a first prototype of EUV Hartmann wave-front sensor. Wave-front measurements were performed over a wide wavelength range from 7 to 25 nm. Accuracy of the sensor was proved to be better than λEUV/120 rms (λEUV = 13.4 nm, about 0.1 nm accuracy) with sensitivity exceeding λEUV/600 rms, demonstrating the high metrological performances of this system.
At the Swiss Light Source (SLS), we succeeded recently in the automatic alignment of a synchrotron beamline by Hartmann technique. Experiments were performed, in the hard X-ray range (E = 3 keV, λ = 0.414 nm), using a 4-actuators Kirkpatrick-Baez (KB) active optic. An imaging system of the KB focal spot and a hard X-ray Hartmann wave-front sensor were used alternatively to control the KB. The imaging system used a genetic algorithm to achieve the highest energy in the smallest spot size, while the wave-front sensor used the KB influence functions to achieve the smallest phase distortions in the incoming beam. The corrected beam achieved with help of the imaging system was used to calibrate the wave-front sensor. With both closed loops, we focused the beam into a 6.8x9 μm2 FWHM focal spot. These results are limited by the optical quality of the imaging system.
Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-Å and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date.
The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory’s Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development.
The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to sigma=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings.
Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm.
We describe the design and implementation of a vacuum compatible laser-based absolute distance measurement system with subnanometer resolution. The presented system is compatible with operation in the 10–8-Torr range, and with some minor modifications it could be used in the 10–9-Torr range. The system is based on glancing incidence reflection and dual segmented diode detection. The system has been implemented as a focus sensor for extreme ultraviolet interferometry and microlithography experiments at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. A 3 operational noise floor of 0.78 nm has been demonstrated.
Extreme ultraviolet (EUV) interferometry has been successfully performed for the first time at 0.3 numerical aperture (NA). Extensive EUV “at-wavelength” testing including alignment, was performed on a newly created Micro Exposure Tool (MET) optic designed for sub-50-nm EUV lithographic imaging experiments. The two-mirror, 0.3 NA MET is ar-guably the highest resolution light-projection lithography tool ever made. Using both lateral shearing and phase-shifting point-diffraction interferometry, the wavefront was measured across the field of view, and the alignment was optimized in preparation for imaging. The wavefront quality reached 0.55 nm RMS (lambda[EUV]/24.5) in a 37-term annular Zernike poly-nomial series, dominated by higher-order spherical aberration. Measurements included calibrations of the interferometer accuracy, assessment of repeatability, and cross-comparisons of visible and EUV interferometric measurements. The comparisons and the final, measured wavefront quality were affected by an apparent alignment drift, several tenths of a nm in magnitude. Significant unresolved differences between testing strategies shows that continued work is needed to improve the measurement accuracy to levels required for EUV lithography.
The success of recent static printing experiments at Lawrence Berkeley National Laboratory’s Advanced Light Source (ALS) using the EUV LLC Engineering Test Stand (ETS) Set-2 optic has demonstrated the utility of synchrotron-based EUV exposure stations. Although not viable light sources for commercial lithography, synchrotrons provide clean, convenient, and extremely flexible sources for developmental microfield lithography. The great flexibility of synchrotron-based illumination arises from the fact that such sources facilitate active coherence reduction, thus enabling the coherence function, or pupil fill, to be actively sculpted in real time.
As the commercialization of EUV progresses, the focus of developmental EUV lithography is shifting from low numerical aperture (NA) tools such as the 0.1-NA ETS to higher-NA tools such as the 0.3-NA Micro Exposure Tool (MET). To support printing with MET optics at the ALS, a new printing station has been developed, relying on a scanning illuminator to provide programmable coherence (pupil-fill) control. The illuminator is designed to operate up to a coherence factor (s) of 1 and support the full 200′600 design printed field of view. In addition to a new illuminator design, new focus sensing and dose-control systems have also been implemented. Here we describe the MET printing capabilities in detail and present preliminary printing results with the Sematech Set-2 MET optic.
A new generation of 0.3 numerical aperture prototype EUV optical systems is now being produced to provide an opportunity for early learning at 20-nm feature size. Achieving diffraction limited performance from these two-mirror, annular projection optics poses a challenge for every aspect of the fabrication process, including final alignment and interferometric qualification. A new phase-shifting point diffraction interferometer will be used at Lawrence Berkeley National Laboratory for the measurement and alignment of the MET optic at EUV wavelengths. Using the previous generation of prototype EUV optical systems developed for lithography research, with numerical apertures up to 0.1, EUV interferometers have demonstrated RMS accuracy levels in the 40-70 pm range. Relative to the previous generation of prototype EUV optics, the threefold increase to 0.3 NA in the image-side numerical aperture presents several challenges for the extension of ultra-high-accuracy.
While interferometry is routinely used for the characterization and alignment of lithographic optics, the ultimate performance metric for these optics is printing in photoresist. The comparison of lithographic imaging with that predicted from wavefront performance is also useful for verifying and improving the predictive power of wavefront metrology. To address these issues, static, small-field printing capabilities have been added to the EUV phase- shifting point diffraction interferometry implemented at the Advanced Light Source at Lawrence Berkeley National Laboratory. The combined system remains extremely flexible in that switching between interferometry and imaging modes can be accomplished in approximately two weeks.
While interferometry is routinely used for the characterization and alignment of lithographic optics, the ultimate performance metric for these optics is printing in photoresist. Direct comparison of imaging and wavefront performance is also useful for verifying and improving the predictive power of wavefront metrology under actual printing conditions. To address these issues, static, small-field printing capabilities are being added to the extreme ultraviolet (EUV) phase-shifting point diffraction interferometer (PS/PDI) implemented at the Advanced Light Source at Lawrence Berkeley National Laboratory. This Sub- field Exposure Station (SES) will enable the earliest possible imaging characterization of the upcoming Engineering Test Stand (ETS) Set-2 projection optics. Relevant printing studies with the ETS projection optics require illumination partial coherence with (sigma) of approximately 0.7. This (sigma) value is very different from the coherent illumination requirements of the EUV PS/PDI and the coherence properties naturally provided by synchrotron undulator beamline illumination. Adding printing capabilities to the PS/PDI experimental system thus necessitates the development of an alternative illumination system capable of destroying the inherent coherence of the beamline. The SES is being implemented with two independent illuminators: the first is based on a novel EUV diffuser currently under development and the second is based on a scanning mirror design. Here we describe the design and implementation of the new SES, including a discussion of the illuminators and the fabrication of the EUV diffuser.
As a continuation of comparison experiments between EUV inspection and visible inspection of defects on EUVL mask blanks, we report on the result of an experiment where the EUV defect inspection tool is used to perform at-wavelength defect counting over 1 cm2 of EUVL mask blank. Initial EUV inspection found five defects over the scanned area and the subsequent optical scattering inspection was able to detect all of the five defects. Therefore, if there are any defects that are only detectable by EUV inspection, the density is lower than the order of unity per cm2. An upgrade path to substantially increase the overall throughput of the EUV inspection system is also identified in the manuscript.
We present recent experimental results from an actinic (operates at the EUV wavelength) defect inspection system for extreme ultraviolet lithography mask blanks. A method to cross-register and cross-correlate between the actinic inspection system and a commercial visible-light scattering defect inspection system is demonstrated. Thus, random, real defects detected using the visible-light scattering inspection tool can be found and studied by our actinic inspection tool. Several defects with sub-100 nm size (as classified by the visible scattering tool) are found with the actinic inspection tool with a good signal to noise ratio. This result demonstrates the capability of the actinic inspection tool for independent defect counting experiments at a sub-100 nm defect sensitivity level.
Recent experimental results from an actinic EUVL mask blank defect inspection system are presented. Bright-field and dark-field scans from various programmed defect samples are reported. Our results show that the current system can detect defects as small as 0.2 micrometers . Substrate roughness is identified as the limitation to the detection sensitivity. A preliminary defect counting experiment is reported and future improvements for practical defect counting are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.