Multi-beam mask writer MBM-1000 is developed for N5. It is designed to accomplish higher throughput than a singlebeam
VSB writer EBM-9500 at shot count higher than 500 G/pass, and write masks with low sensitivity resist to have
better CDU and patterning resolution. Product version of blanking aperture array (BAA) for MBM-1000 is fabricated
along with data transfer system to accomplish data rate of 300 Gbps. They have been integrated with writing control
software based on MBF format, a tool-specific format which handles any-angle pattern and polygon patterns. Writing
test without re-adjustment of beam current showed that exposure time control by BAA blanking is very stable, and linear
CD drift is less than 0.1 nm for 10 hours. Complex OPC pattern and ring pattern were printed on low-sensitivity pCAR
resist and showed good resolution to resolve 25 nm isolated line.
Multi-beam mask writer is under development to solve the throughput and patterning resolution problems in
VSB mask writer. Theoretically, the writing time is appropriate for future design node and the resolution is
improved with multi-beam mask writer. Many previous studies show the feasible results of resolution, CD
control and registration. Although such technical results of development tool seem to be enough for mass
production, there are still many unexpected problems for real mass production.
In this report, the technical challenges of multi-beam mask writer are discussed in terms of production and
application. The problems and issues are defined based on the performance of current development tool
compared with the requirements of mask quality. Using the simulation and experiment, we analyze the specific
characteristics of electron beam in multi-beam mask writer scheme. Consequently, we suggest necessary
specifications for mass production with multi-beam mask writer in the future.
To overcome the resolution and throughput of current mask writer for advanced lithography technologies, the platform of e-beam writer have been evolved by the developments of hardware and software in writer. Especially, aggressive optical proximity correction (OPC) for unprecedented extension of optical lithography and the needs of low sensitivity resist for high resolution result in the limit of variable shaped beam writer which is widely used for mass production. The multi-beam mask writer is attractive candidate for photomask writing of sub-10nm device because of its high speed and the large degree of freedom which enable high dose and dose modulation for each pixel. However, the higher dose and almost unlimited appetite for dose modulation challenge the mask data processing (MDP) in aspects of extreme data volume and correction method. Here, we discuss the requirements of mask data processing for multi-beam mask writer and presents new challenges of the data format, data flow, and correction method for user and supplier MDP tool.
Recently, Multi-Beam Mask Writer (MBMW) scheme is newly considered for next generation writing scheme. As the
MBMW writing uses many multi-array bundle beams with small spot size, the fast writing and complex pattering is
possible conceptually.
The target dose level of MBMW is high around 100μC/cm2 and the target of total writing time is within 10 hours for
next generation layout with complex and small node pattern. The risks of high dose writing are rising of blank
temperature, chemical reaction with photo-resist and charging effects in blank. In addition, the fast writing can cause the
rising of temperature in blank.
The heating effect can be divided into local and global terms, and each effect of critical dimension (CD) and
registration was analyzed by heating effect. In case of MBMW, the global heating is more critical than local heating.
Therefore, we need to study about the global heating effect which can affect global registration in MBMW.
In this paper, we study about the global heat distribution on mask blank in certain MBMW writing condition, and the
directional deformation of blank which can affect global registration was analyzed by using Finite Element Method
(FEM). We approach with two kinds of modified heat model and the FEM model was verified with analytical calculation.
The temperature variation and deformation distribution were achieved with transient method with the writing
conditions, in case of 100μC/cm2 of total dose, 50kV of acceleration voltage, 100% of chip density and 10 hour of total writing time. Therefore, we can consider the writing conditions according to mask specification in MBMW scheme.
Because mask patterning quality of CD uniformity, MTT, registration and smaller assist feature size is important for wafer patterning, the higher exposure dose and complex pattern design will be necessary. It is the reason why the faster and more accurate e-beam mask writer is needed for future design node. Multi-beam mask writer is the most promising new e-beam mask writer technology for future sub-10nm device mask patterning to solve the pattern quality issue and writing time problem. In this report, the technical challenges of multi-beam mask writer are discussed by comparison with problems of current VSB e-beam mask writer. Comparing with e-beam mask writer which has the critical issues of beam size and position control, the application of entirely different methods and techniques of CD and position control is essential for multi-beam mask writer which has new architecture and writing strategy. Using the simulation method, we present the different challenges between VSB and multi-beam mask writer. And there are many important technical requirements to achieve expected specification of multi-beam mask writer. To understand such requirements, the patterning simulation and mathematical calculation are done for analysis. Based on the patterning simulation, the detail technical requirements and issues of multi-beam mask writer are achieved. Consequently, we suggest the direction of multi-beam mask writer development in terms of technical challenges and requirements.
The demand for aggressive image placement accuracy for each generation is being increasingly accelerated by DPT
deployment. The method of the correction with the scanner is in effect devised by obtaining the CD and IP maps of each
mask after the mask pattern is drawn, We are developing a technology that generates CD and IP maps for each mask
from the image data of inspection equipment with the ultimate goal of "in-die overlay improvement" optimizing scanner
as well as writer performances.
We have reported in-die CD and registration metrology capability of mask inspection equipment. However existing
inspection machines, which are already in use, do not have such features to perform this function, as they are not
designed for such purpose.
We are developing a method to improve measurement accuracy by incorporating registration mark data obtained by
conventional metrology tool, and will report the result of evaluation of this method.
KEYWORDS: Photomasks, Lithography, Amplifiers, Data storage servers, Mask making, Immersion lithography, Data conversion, Extreme ultraviolet lithography, Data processing, Data corrections
Many lithography candidates, such as ArF immersion lithography with double-patterning/double-exposure techniques,
EUV lithography and nano-imprint lithography, show promising capability for 22-nm half-pitch generation lithography.
ArF immersion lithography with double-patterning/double-exposure techniques remains the leading choice as other
techniques still lack the conclusive evidence as the practical solution for actual production. Each of the prospective
lithography techniques at 22-nm half-pitch generation requires masks with improved accuracy and increased complexity.
We have developed a new electron beam mask writer, EBM-8000, as the tool for mask production of 22-nm half-pitch
generation and for mask development of 16nm half-pitch generation, which is necessary for the practical application of
these promising lithography technologies.
The development of EBM-8000 was focused on increasing throughput and improving beam positioning accuracy. Three
new major features of the tool are: new electron gun with higher brightness to achieve current density of 400 A/cm2,
high speed DAC amplifier to accurately position the beam with shorter settling time, and additional temperature control
to reduce the beam drift.
The improved image placement accuracy and repeatability, and higher throughput of EBM-8000 have been confirmed
by actual writing tests with our in-house tool.
A new method to describe the resist surface charging effect more accurately is proposed. In our previous work, we handled
only the static portion of the surface charging and it was applicable only to a limited situation. The scope of this paper is to
add a new model to handle the dynamic, discharging behavior on top of the existing static model to make the whole charging
model closer to what is really happening on the plate during the exposure. With the new model, the correction accuracy has
been improved not only for the equilibrium state but also for the state when the tool is dynamically writing the main pattern.
We conclude that our Charging Effect Correction (CEC) was advanced by this new model to become completely production
ready.
KEYWORDS: Extreme ultraviolet, Data modeling, Photomasks, Scattering, Monte Carlo methods, Point spread functions, Process modeling, Electron beams, Tantalum, Chromium
In electron beam writing on EUV mask, it has been reported that CD linearity does not show simple signatures as
observed with conventional COG (Cr on Glass) masks because they are caused by scattered electrons form EUV mask
itself which comprises stacked heavy metals and thick multi-layers. To resolve this issue, Mask Process Correction
(MPC) will be ideally applicable. Every pattern is reshaped in MPC. Therefore, the number of shots would not increase
and writing time will be kept within reasonable range. In this paper, MPC is extended to modeling for correction of CD
linearity errors on EUV mask. And its effectiveness is verified with simulations and experiments through actual writing
test.
Critical Dimension uniformity (CDU) is one of the most critical parameters for the characterization of
photomasks. Lately it has been shown that advanced CD (critical dimension) SEM tools and mask processes
can distinguish the random short-range CD variation from the global CD signature, which is driven by
process and design characteristics. Current electron beam writers can utilize this global CD signature
information and correct the CDU of photomasks accordingly. Therefore a detailed knowledge of the
signature will benefit strongly photomask CDU.
Electron beam writer based signature compensation relies primarily on CD signatures derived from CD
SEMs. Here higher spatial resolutions of the signature are achieved only by high cycle times at metrology.
The trade off between cycle time and resolution leads to a CD resolution somewhere around one cm. Even
then the photomask will have to stay a substantially percentage of the total cycle time at a non-value added
process step.
In this paper we argue that the solution for this dilemma can be found at a completely different process area -
at inspection. We present data showing that the novel CD map feature of the NPI inspection tools enables CD
maps in unparalleled resolution in the mm region. This far exceeds CD SEMs by a factor of 100. Also
utilization of a tuneable spectrum of different features are not limited to selected CD measurement sites. The
CD map is generated in parallel to the traditional defect inspection and works for pre- and post pellicle
inspections equally well.
To evaluate the method we used a single die layout of a current logic design and referenced all data only to
database. Nevertheless, the data presented will demonstrate the excellent repeatability of the CD map
measurement and the good matching to CD SEM measurements.
In order to support complex optical masks today and EUV masks in the near future, it is critical to correct mask
patterning errors with a magnitude of up to 20nm over a range of 2000nm at mask scale caused by short range mask
process proximity effects. A new mask process correction technology, MPC+, has been developed to achieve the target
requirements for the next generation node. In this paper, the accuracy and throughput performance of MPC+ technology
is evaluated using the most advanced mask writing tool, the EBM-70001), and high quality mask metrology .
The accuracy of MPC+ is achieved by using a new comprehensive mask model. The results of through-pitch and
through-linewidth linearity curves and error statistics for multiple pattern layouts (including both 1D and 2D patterns)
are demonstrated and show post-correction accuracy of 2.34nm 3σ for through-pitch/through-linewidth linearity.
Implementing faster mask model simulation and more efficient correction recipes; full mask area (100cm2) processing
run time is less than 7 hours for 32nm half-pitch technology node.
From these results, it can be concluded that MPC+ with its higher precision and speed is a practical technology for the
32nm node and future technology generations, including EUV, when used with advance mask writing processes like the
EBM-7000.
The demand for aggressive image placement (IP) accuracy and the CD uniformity of Mask is being increasingly
accelerated by DPT deployment.
It is becoming feasible to improve CD accuracy, by feeding back CD information to mask writer, or by feed-forwarding
CD information on a mask to lithography scanner. Moreover, it is also becoming realistically available to improve
position accuracy, by feeding back Mask IP information to mask writer.
It was necessary to prepare certain special pattern to measure CD and IP, and to measure the pattern with a conventional
metrology tool, requiring long measurement time in the event the number of measurement points is large as can be seen
with the lately emerging advanced masks. We are developing a function to acquire both CD information and IP
information on the mask at the same time the image data acquired are analyzed by mask defect inspection equipment, so
that no special pattern may be needed and no additional measurement time is required. We will report on the result of
obtaining IP data of a product-like pattern using this function.
The demand for aggressive image placement accuracy and CD uniformity for each generation is being increasingly
accelerated by DPT deployment. The method of the correction with the scanner is in effect devised by obtaining the CD
and IP maps of each mask after the mask pattern is drawn. We are developing a technology that generates CD and IP
maps for each mask from the image data of inspection equipment with the ultimate goal of "in-die overlay improvement"
optimizing scanner as well as writer performances. We evaluated the positional measurement function by using NPI
inspection system with the evaluation mask.
Optical lithography is facing resolution limit. To overcome this issue, highly complicated patterns with high data volume
are being adopted for optical mask fabrications. With this background, new electron beam mask writing system, EBM-
7000 is developed to satisfy requirements of hp 32nm generation. Electron optical system with low aberrations is
developed to resolve finer patterns like 30nm L/S. In addition, high current density of 200 A/cm2 is realized to avoid
writing time increase. In data path, distributed processing system is newly built to handle large amounts of data
efficiently. The data processing speed of 500MB/s, fast enough to process all the necessary data within exposure time in
parallel for hp32nm generation, is achieved. And this also makes it possible to handle such large volume dense data as
2G shots/mm2 local pattern density.
In this paper, system configuration of EBM-7000 with accuracy data obtained are presented.
Semiconductor scaling is expected to continue to hp32nm and beyond, accompanied by explosive data volume
expansion. Required minimum feature size at hp 32nm will be less than 50nm on the mask, according to ITRS2007(1).
EBM 7000 is a newly designed mask writer for the hp32 nm node with an improved electron optical column providing
the beam resolution (10 nm measured in situ) and beam current density (200 A/cm2) necessary for cost effective mask
production at hp32nm node. In this paper we report on column improvements, the in situ beam blur measurement
method and writing results from EBM 7000. Written patterns show dose margin (CD change [nm] / 1 % dose change) of
.94 nm /1 % dose for line/space arrays using chemically amplified resist PRL009 and our standard processing. Using a
simple model to relate the measured beam intensity distribution to the measured dose margin, we infer an effective total
blur of 30 nm, dominated by a contribution of 28 nm from the resist exposure and development process. Further
evidence of the dominance of the process contribution is the measured improvement in dose margin to .64 nm/% dose
obtained by modifying our standard process. Even larger process improvements will be needed for successful fabrication
of hp22nm masks.
The impending need of double patterning/double exposure techniques is accelerating the demand for higher pattern
placement accuracy to be achieved in the upcoming lithography generations. One of the biggest error sources of pattern
placement accuracy on an EB mask writer is the resist charging effect. In this paper, we provide a model to describe the resist
charging behavior on a photomask written on our EBM-6000 system. We found this model was very effective in correcting
and reducing the beam position error induced by the charging effect.
Extreme ultra-violet (EUV) lithography is one of the leading potential solutions for next generation lithography. Image
placement (IP) errors specific to EUV mask induced by non-telecentricity have to be minimized to satisfy the strict IP
requirement. IP accuracy of EUV mask is considerably influenced by electro-static chuck (ESC) characteristics and
backside non-flatness of each blank when it is held by ESC in EB mask writer, IP metrology tool, and exposure tool as
suggested in SEMI standard. We propose to apply the correction technique to each EUV mask in EB mask writing with
flatness data of blank and ESC to minimize IP errors caused by mask non-flatness and ESC characteristics. In
addition, IP control methodology for EUV mask with conventional IP metrology tool is proposed for pattern writing by
EB mask writer with this correction technique. Early development of EUV mask patterning is enabled by this IP control
methodology without substantial changes to the current mask process.
Double pattering or exposure methodologies are being adopted to extend 193nm optical lithography. These
methodologies require much tighter image-placement accuracy and Critical Dimension (CD) controls on mask than the
conventional single exposure technique. Our experiments indicate that the global image placement drift induced by the
time elapsed in mask writing is the dominant factor that degrades image-placement accuracy. In-situ grid measurement
method is being proposed to suppress this time dependent drift. Resist charging effect is also an important error factor.
While it can be reduced by charge dissipation layer (CDL), further feasibility study is required for using CDL to
overcome certain side-effects pertaining to CDL. High dose resist improves local CD uniformity and pattern fidelity.
However, mask writing time becomes longer with lower sensitivity. To satisfy conflicting issues, throughput and CD
uniformity, high sensitivity CAR which has short acid diffusion length is desirable. Shortening acid diffusion length is
essential for achieving good pattern resolution as well as good CD uniformity. This paper will address the results of
error source analyses and key schemes of accuracy improvements in photo-mask manufacturing using NuFlare
Technology's EB mask writers.
Image placement (IP) errors caused by electro-static chuck (ESC) and non-flatness of mask are additional factors in
writing extreme ultra-violet (EUV) mask, and minimizing their influences is being fervently addressed. New correction
technique of EBM-6000 has been developed for EUV mask writing based on the conventional grid matching correction
(GMC) without ESC to obtain good reproducibility to satisfy user's requirement to develop EUV mask at an early stage.
Double exposure / Double pattering methodologies are being adopted to extend 193nm optical lithography until the next
generation lithography, most likely the EUV, is solidified. The Double exposure / Double patterning methodologies
require tighter image-placement accuracy and Critical Dimension (CD) controls on a mask than the conventional single
exposure technique. NuFlare Technology's mask writer, EBM-6000 (1), is capable of achieving the required CD control
and high patterning resolution as fine as 35 nm, that are required for the hp 45nm lithography with Double exposure /
Double patterning methodologies, when newly developed resist (i.e. "low-sensitivity" resist) is used, as shown at several
occasions to date. Further, image-placement control with EBM-6000 has been improved based on extensive error
budget analysis to comply with the tight image-placement specifications required by the Double exposure / Double
Patterning lithography. This paper will show the results of the analysis and improvement of the image-placement
accuracy of EBM-6000 series mask writers.
KEYWORDS: Data processing, Transistors, Electron beams, Optical proximity correction, Photomasks, Resolution enhancement technologies, Vestigial sideband modulation, Electron beam melting, Data conversion, Data modeling
The optical lithography still remains to be the mainstream coupled with RETs (resolution enhancement techniques)
because of the various and serious difficulties other NGL candidates (Electron beam direct writing, EUV and etc.) are
facing now. Development of OPC have made pattern data complexity large so that increasing rate of pattern data
volume is higher than the number of transistors in a chip. We studied key issues of development of mask writer
especially for throughput.
Heating effect was evaluated for EBM-6000 which is operated at high current density of 70A/cm2 and acceleration
voltage of 50kV. FEP171 as widely used for current productions and lower sensitivity resists are tested. Lower
sensitivity resist is one of key items to achieve highly accurate Local critical dimension uniformity (LCDU) because of
shot noise reduction.
CD variations in experiment are compared with simulated temperature changes induced by heating effect. Then, the
ratio, ΔCD/ΔT, is found mostly constant for every resist, 0.1 nm/C°.
Writing conditions are estimated to meet CDU spec of hp45 generation for a worst case pattern, i.e. 100% density
pattern. For FEP171, the maximum shot size of 0.85 μm shot size at 2pass writing mode is sufficient. It should be
reduced to 0.5 μm at 2pass writing mode for every lower sensitivity resist. When 4pass writing mode is used, the
maximum shot size of 0.85 μm is available. Writing conditions and writing time for realistic patterns are also discussed.
In order to comply with the demanding technology requirements for 45 nm half pitch (HP) node (32 nm technology
node), Nuflare Technology Inc. (NFT) has developed Electron-beam mask writing equipment, EBM-6000, with
increased current density (70A/cm2), while its other primary features basically remain unchanged, namely 50 kV
acceleration voltage, Variable Shaped Beam (VSB)/vector scan, like its predecessors [1-5]. In addition, new
functionalities and capabilities such as astigmatism correction in subfield, optimized variable stage speed control,
electron gun with multiple cathodes (Turret electron gun), and optimized data handling system have been
employed to improve writing accuracy, throughput, and up-time. VSB-12 is the standard input data format for
EBM-6000, and as optional features to be selected by users, direct input function for VSB-11 and CREF-flatpoly
are offered as well.
In this paper, the new features and capabilities of EBM-6000 together with supporting technologies are reported to
solidly prove the viability of EBM-6000 for 45 nm HP node.
KEYWORDS: Photomasks, Line edge roughness, Error analysis, Electron beams, Manufacturing, Data conversion, Electron beam melting, Optical proximity correction, Electro optical systems
EBM-5000 equipped with the new feature of high current density (50A/cm2) has been developed for 45 nm technology node (half pitch (hp) 65 nm). EBM-5000 adopts 50 kV variable shaped electron beam (VSB)/vector scan architecture and continuous motion stage, following the steps of preceding EBM series. In addition to the high current density, new technologies such as high resolution electron optics, finer increment for beam position and exposure time control, and new data format "VSB-12" to handle large data volume have been introduced on EBM-5000. These new technologies address two conflicting issues: improvement of throughput and better accuracy. This paper will report the key challenging technologies, certain results of EBM-5000 operation and findings obtained through our development efforts that can be applied to future generation tools. The fundamental local CD uniformity (LCDU) limit is also discussed.
A high accuracy electron beam writing system EBM-3500 has been developed for 130 nm node lithography technology. The EBM-3500 is based on its predecessor EBM-3000 system and incorporates new features to improve writing accuracies. Based on the extensive error analyses of the EBM-3000, several important improvements in such areas as ground noise and stray magnetic field reductions, among others, have been made. Thanks to these improvements, EBM-3500 achieves high accuracies to satisfy the present and future technology requirements.
Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.
We have newly designed and constructed a unique electron optical column installed with an in-situ cleaning system, applying the down-flow ashing process with a mixture O2 and CF4. We carried out in-situ cleaning using designed system, and confirmed that beam drift which is caused by charging up of a contamination layer was reduced.
CD uniformity to be patterned by electron-beam (EB) writing system with a variable-shaped beam was evaluated. The experimental EB writing system, EX-8D, was used under conditions of current density of 20 A/cm2 and acceleration voltage of 50 keV. Quartz reticles coated with positive tone resist ZEP7000TM (Nippon Zeon Co., Ltd.) were applied. Test patterns of 1-micrometer-width design were written by shaped beam shots of 1 micrometer square with different exposure doses. Since higher measurement repeatability was confirmed, line width of test patterns without shot stitching points was measured by Nikon XY-3I with a circle-spot probe of 1 micrometer. Line width of clear patterns on resist film was measured after development, and line width of clear patterns on chrome (Cr) film of one mask was measured at same points after wet-etching. The other mask was measured at the same points after dry-etching process by conventional reactive ion etching (RIE). Certain comparisons in this study indicate the importance of evaluating CD uniformity on Cr film after dry- etching process. Expect for resist heating contribution by four-pass writing method, the uncertainty of CD error was quantified as follows: 4 nm (3(sigma) ) on resist film at the applied dose of 19 (mu) C/cm2, and 4 nm (3(sigma) ) on Cr film at the applied dose of 27 (mu) C/cm2.
Several experiments in order to improve throughput and accuracy have been carried out on electron beam reticle writing system which adopts variably shaped beam, vector scanning, and continuously moving stage. Stage speed optimization process by the stripe is introduced to reduce the writing time loss which arises from constant stage speed through writing a reticle. As a result, writing time decreases to 2/3 on average and the throughput of 2 reticles of 64 Mbit DRAM class per hour can be realized. Substrate clamping configuration for writing and measuring machine affects the substrate flexure and deteriorates the global positioning accuracy. The change of clamping point number form 4 to 3 for each machine improves the reproducibility of global distortion to 47% or more. The multipass writing method is effective to reduce stripe stitching error and fluctuation of the main-field position. In the case of multiplicity of 4, stripe stitching error and fluctuation of the main-field are 20 nm and 13 nm, respectively. The writing time ratio compared with single-pass writing is 1.6 even in 4-pass writing. Therefore, throughput should also be emphasized in view of accuracy improvements.
This paper presents a method for estimating the influence of reticle flexure on pattern positioning accuracy, and evaluates the method by measuring patterned reticles. Reticle flexure causes the pattern shift which occurs by stretching or compression of the reticle surface. A height-mapping function of an electron beam (EB) writing system and a measuring machine are used to calculate the pattern shift due to reticle flexure. The bent shape of a reticle on the EB-writing system differs from that on the measuring machine, so that the patten shifts on the two machines are different. The pattern shifts caused by the bent shape difference were excluded from the measurement result of pattern positioning errors. The values of pattern positioning accuracy evaluation parameters, x, y-scaling and orthogonality, are calculated among several reticles (5 inches, 0.09 inches thick). The deviations of these three values are reduced to less than 50% of their uncompensated values.
Pattern measurement repeatability of metrology tools must be evaluated precisely to warrant higher pattern placement accuracy, according to a budget of pattern shift errors effected by the initial deformation of a substrate, clamping conditions, etc. As first steps, we focused on our metrology tool, Nikon XY-3i. Pattern measurement repeatability was usually evaluated to measure a referential pattern of a single mask repeatedly. For taking tilting variations on each of mask setting into account, we divided the coordinates of measured data into some error factors. Besides, we proposed sag correction method to eliminate tilting variation for precisely evaluation. This method was effective to unify each of the referential planes on measuring. Sag correction was effective to diminish in variations of orthogonality error factor and trapezoid error factors and deviations (3(sigma) ) of measurement repeatability. Therefore, we succeeded to get the quantitative budget of measurement repeatability for our metrology tool.
Phase contrast lithography using an annular-shaped phase only filter on the pupil plane of the projection optics was found to enlarge depth of focus for lines and spaces, isolated lines, spaces and hole patterns. The lines and spaces and isolated lines prefer an annular illumination. On the contrary, higher coherent illumination was effective for isolated spaces and hole patterns using the same phase modulation. It is not necessary to change the phase filter for each lithographic level. Phase contrast lithography gives us larger depth of focus in combination with halftone phase shifting mask. The phase filter does not have the problem of heating, and has high efficiency of exposure light. Several simulation results are presented, and the possibility of the phase contrast technology becoming a candidate for quarter micron lithography is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.