To determine scanning electron microscopy (SEM) image resolution, we processed a wafer-based sample that
demonstrates directionally isotropic, yet high frequency, details after Fourier transformation [3],[4],[5]. We developed a
new fully automatable method that outputs the SEM resolution, beam shape, and eccentricity as results. To verify the
influence of further parameters (e.g., scanning conditions, acceleration voltage) on how the resolution influences critical
dimension (CD) measurement accuracy, wafers with test structures of a wide range of nominal sizes of lines and contact
holes were created. For all CD-SEM measurements, we used a calibrated CD-atomic force microscope (CD-AFM) as a
reference [6]. CD-SEM measurements were done on different tool generations with variations in best achievable
resolution.
Experimental SEM resolution results will be shown, including influences of focus and stigmation. Both the wafer sample
for resolution monitoring and a new Fourier-based evaluation method show significant sensitivity to variations in these
parameters. By comparing the resolution results in the X and Y directions, astigmatism can be estimated. Even
stigmation drifts less than normal daily variations can be observed. Differences in accuracy of less than 30nm to 500nm
among different CD-SEM tool generations will be shown, which is revealing when trying to understand the quantitative
influence of the SEM resolution on measurement accuracy.
The newly emerging lithographic technologies related to the 32nm node and below will require a step function in the
overlay metrology performance, due to the dramatic shrinking of the error budgets. In this work, we present results of an
emerging alternative technology for overlay metrology - Differential signal scatterometry overlay (SCOTM). The
technique is based on spectroscopic analysis of polarized light, reflected from a "grating-on-grating" target. Based on
theoretical analysis and initial data, this technology, as well as broad band bright field overlay, is a candidate technology
that will allow achieving the requirements of the 32nm node and beyond it. We investigate the capability of SCOLTM to
control overlay in a production environment, on complex stacks and process, in the context of advanced DRAM and
Flash technologies. We evaluate several metrology mark designs and the effect on the metrology performance, in view
of the tight TMU requirements of the 32nm node. The results - achieved on the KLA-Tencor's Archer tool, equipped
with both broad band bright field AIMTM and scatterometry SCOLTM sensors - indicate the capability of the SCOLTM
technology to satisfy the advanced nodes requirements.
CD-SEMs fleet matching is a widely discussed subject and various approaches and procedures to determine it were
described in the literature [1,2,4-6]. The different approaches for matching are all based on statistical treatment of CD
measurements that are performed on dedicated test structures. The test structures are a limited finite set of features, thus
the matching results should be treated as valid only for the specific defined set of test features. The credibility of the
matching should be in question for different layers and specifically production layers. Since matching is crucial for
reliable process monitoring by a fleet of CD-SEMs, the current matching approaches must be extended so that the
matching will be only tool dependent and reproducible on all layers regardless their specific material or topographic
characteristics. In our previous work [1] the new approach named "Physical Matching" was introduced and a new
matching procedure based on the direct estimation of tool physical parameters was described. This approach extends the
conventional matching methods to enable significant improvement of the matching between CD-SEM tools in
production environment.
In this work we present results of applying the physical matching method in FAB environment by using the physical
parameters of the brightness and SNR, extend it to noise frequency domain characteristics monitoring, and enhanced
collection uniformity. Improving the collection uniformity is also demonstrated and proved to be a significant factor.
The advantage of the physical matching with noise spectra analysis approach for a case study is demonstrated. This
method will enable detection of specific reasons for mismatching between the tools, based on analysis of specific
frequencies that are resulted from known mechanical/electrical noise. The proposed procedure allows tool problems
fixing before CD measurements are affected. In order to get a reliable visualization of the difference between two
systems, new automatic and manual tool finger print methods were developed. The application of the proposed approach
to vendor to vendor matching problem is considered.
As design rules shrink, there is an increase in the complexity. OPC/RET have been facilitating unprecedented yield at k1
factors, they increase the mask complexity and production cost, and can introduce yield-detracting errors. Currently OPC
modeling techniques are based on extensive CD-SEM measurements which are limited to one dimensional structures or
specific shape structures e.g. contact holes. As a result the measured information is not representing the whole spatial 2D
change in the process. Therefore the most common errors are found in the OPC design itself and in the resulting
patterning robustness across the process window. A new methodology for OPC model creation and verification is to
extract contours from complex test structures which beside the CD values contain further information about e.g. various
proximities.
In this work we use 2D contour profiles extracted automatically by the CD-SEM over varying focus and exposure
conditions. We will show that the measurement sensitivity and uncertainty of that algorithm through the whole process
window fulfills the requirements of the ITRS with respect to CD-SEM metrology tools. This will be done on various test
structures normally being used for OPC model generation and OPC stability monitoring. Furthermore a study on
systematic influences on the quality of the extracted contours has been started. This study includes the evaluation of
various parameters which are considered as possible contributors to the uncertainty of the edge contour extraction. As
one of the parameters we identified the pixel size of the SEM images. Furthermore, a new metric for calculating
repeatability and reproducibility determination for 2D contour extraction algorithms will be presented. By applying this
contour extraction based methodology to different CD-SEM tool generations the influence of SEM beam resolution to
the quality of the contours will be evaluated.
In our work we discuss two approaches of offline CD-SEM recipe creation for both OPC qualification wafers and the introduction of new products to the manufacturing line using the Applied Materials OPC Check and Offline Recipe Editor (ORE) applications. We evaluate the stability of the offline created recipes against process variations for different OPC test layouts as well as for production measurements on multiple lots per week and compare the results to the performance of recipes created directly on the tool. Further, the success rate of recipe creation is evaluated. All offline recipes have been generated in advance of wafer availability using GDS data. The offline created recipes have shown pattern recognition success rates of up to 98% and measurement success rates of up to 99% for line/space as well as for contact-hole (CH) measurements without manual assists during measurement. These success rates are in the same order of magnitude as the rates typically reached by an experienced CD-SEM engineer creating the recipes directly on the tool.
KEYWORDS: Semiconducting wafers, Scatterometry, Metrology, Lithography, 3D modeling, Scatter measurement, Critical dimension metrology, Process control, Process modeling, Data processing
With critical dimensions in microelectronics devices shrinking to 70nm and below, CD metrology is becoming more and more critical, and additional measurement information will be needed, especially for sidewall profiles and profile height. Integrated scatterometry is, on the one hand, giving the needed measurement precision, and on the other hand, it enables more measurements than stand-alone metrology. Both high precision and large sampling are needed for future technology nodes. This paper shows results from several full volume DRAM applications of state-of-the-art technology nodes on 300 mm wafers. These applications include critical line/space (L/S) layers as 2D applications and contact-hole (CH) layers consisting of elliptical CH-like structures as critical 3D applications. The selected applications are significantly more challenging with respect to scatterometry model generation than the applications presented in previous papers [1, 2]. Simultaneously, they belong to the most critical lithography steps in DRAM manufacturing. In the experiments, the influences of both pre-processes and the litho cluster on Critical Dimension Uniformity (CDU) have been investigated. Possible impacts on Run-to-Run systems like Feed-back and Feed-forward loops will also be discussed. We show that using integrated scatterometry can significantly increase the productivity of lithography clusters.
In our work, Tokyo Electron's iODP103 (integrated Optical Digital Profilometry) technology is used for integrated measurements on a next-generation Lithius Clean Track on after develop inspect (ADI) 300mm wafers. We show that single tool precision and tool-to-tool matching of three integrated systems fulfill the precision requirements of the 70nm DRAM technology node. Further results from a long-term pilot test using integrated scatterometry in a full-volume DRAM production of the 110nm technology node on 300mm wafers are also discussed. The data from our experiment is collected and charted in fab monitored statistical process control (SPC) charts, and compared to the charts from the POR CD-SEM measurements. The sampling plans are optimized in such a way as to perform fully integrated measurements on all wafers per lot, without throughput loss of the litho cluster. We demonstrate that the possibility of measuring all wafers per lot directly after development, in combination with the sensitivity of the method, allows the identification of effects that could not previously be identified by CD-SEM measurements alone.
In our work we investigate the influence of averaging varying numbers of measurement structures on process stability and CD uniformity. Measurements are performed on an Applied Materials VeritySEM CD-SEM system which provides the possibility to measure several lines or contact hole structures and to yield the average and 3 sigma value of all measured structures. We show that averaging significantly improves the single tool precision up to 30%. Additionally, a long term pilot test has shown that the range of the CD distribution of selected production layers is significantly decreased reducing the contribution of the measurement to the total CD budget resulting in a yield enhancement. Further, we discuss the influence of averaging on the contribution of short-range random CD variations for CD uniformity measurements. This is done by investigating the distribution of the CD difference between adjacent structures across the wafer. We show that increased averaging significantly reduces the contribution of random CD variations to the CD budget.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.