Presentation + Paper
28 March 2017 Selection of airgap layers for circuit timing optimization
Author Affiliations +
Abstract
Airgap refers to a void formed in place of some inter metal dielectric (IMD). It brings about the reduction in coupling capacitance, which may contribute to improvement in circuit performance. We introduce two problems in this context. First is to choose the layers, where airgap should be applied, in such a way that total negative slack (TNS) is minimized for a given circuit. This has been motivated by the fact that best choice of airgap layers is different for different circuits. An algorithm is proposed to solve the problem, and is assessed against a naive approach in which airgap layers are simply fixed; additional 8% TNS reduction, on average of a few test circuits, is demonstrated. In the second problem, some wires of critical paths that are on non-airgap layers are reassigned to airgap layers such that TNS is further reduced; additional 3 to 14% of TNS reduction is observed.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Daijoon Hyun and Youngsoo Shin "Selection of airgap layers for circuit timing optimization", Proc. SPIE 10148, Design-Process-Technology Co-optimization for Manufacturability XI, 101480O (28 March 2017); https://doi.org/10.1117/12.2258034
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metals

Dielectrics

Capacitance

Copper

Atomic layer deposition

Chemical vapor deposition

Etching

Back to Top