Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume PMJ17, including the Title Page, Copyright information, Table of Contents, and Conference Committee listing.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Photomask Japan 2017: XXIV Symposium on Photomask and Next-Generation Lithography Mask Technology, edited by Kiwamu Takehisa, Proceedings of SPIE Vol. 10454 (SPIE, Bellingham, WA, 2017) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510613898

ISBN: 9781510613904 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time)· Fax +1 360 647 1445

SPIE.org

Copyright © 2017, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/17/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00002_PSISDG10454_1045401_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print. Papers are published as they are submitted and meet publication criteria. A unique citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a seven-digit CID article numbering system in which:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Aghili, Ali, 0R

Asada, Hironori, 14

Azuma, Hisanobu, 0R

Baessler, Martin, 0K

Baji, Toru, 06

Bayle, Sébastien, 0H

Bender, Markus, 0P

Bowhill, Amanda, 0G

Broadbent, Bill, 0J

Buettner, Alexander, 0V

Chang, Vic, 0U

Chen, Jian-Cheng, 0G

Chishima, Tatsuya, 0S

Choi, Jin, 0R

Choi, Sang-Soo, 0Z

Choo, Min, 0J

Chung, Donghoon, 0J

Deng, Erwin, 0G

Deutz, Alex, 0O

Egodage, Kokila, 0X

Emoto, Keiji, 0R

Fang, Xiang, 0G

Figueiro, Thiago, 0H

Fujikawa, Takashi, 03

Fujimura, Aki, 07, 08, 09, 0A, 0C, 0D

Fukui, Jumpei, 0W

Garetto, Anthony, 0X

Guthrie, Bill, 0C

Hagihara, Kazuki, 0Y

Hagiwara, Kazuyuki, 09

Han, Runyuan, 0V

Hara, Daisuke, 07

Harada, Daijitsu, 05

Harada, Tetsuo, 13

Harashima, Noriyuki, 0S

Hayase, Michihiko, 04

Hayashi, Naoya, 0Y

Hirai, Mitsuo, 02

Hirano, Masakatsu, 03

Hirano, Takashi, 0Q

Hirayama, Sadayuki, 03

Horiuchi, Toshiyuki, 10, 11, 12

Hortenbach, Olga, 0K

Hoshino, Ryoichi, 14

Hsu, Yuan, 0T

Huang, Kuang, 0U

Hur, Jiuk, 0J

Imashiki, Nobuhisa, 04

Ishitsuka, Youkou, 05

Iso, Hiroyuki, 0S

Isozaki, Tatsuya, 0S

Ito, Kaiki, 10

Ito, Yoshiyasu, 0Y

Itoh, Masamitsu, 0Q

Iwakuma, Minako, 14

Jeon, Chan-Uk, 0J

Jeong, Jin-Woong, 0Z

Jeong, Woo-Gun, 0Z

Jonckheere, Rik, 0M

Jones, Chris E., 0R

Kageyama, Kagehiro, 0S

Kamikubo, Takashi, 0B, 0C

Kanai, Shuichiro, 0S

Kato, Takenori, 02

Kawanishi, Arata, 0S

Kerkhof, Peter, 0O

Kim, Byung-Gook, 0J

Kim, Kyu-Sik, 0Z

Kim, Soonho, 0J

Kimura, Taiki, 0I

Kishimura, Yukiko, 14

Koster, Norbert, 0O

Kronmiller, Tom, 0C

Kumada, Ai, 0Q

Kusunose, Haruhiko, 02

Lajn, Alexander, 0K, 0L, 0P

Lee, Dong-Heok, 0Z

Lee, Hong-Jen, 0T

Lee, Rachel, 0G

Liao, Hung-Yueh, 0G

Lin, Ling-Chieh, 0G

LoPresti, Patrick, 0J

Lu, Min-Ying, 0G

Ma, Shou-Yuan, 0G

Maeda, Toshihiro, 0R

Mamezaki, Daiki, 13

Martin, Luc, 0H

Maruyama, Kimiyuki, 03

Maruyama, Yuji, 03

Masuda, Yukihiro, 0C, 0D

Matsumoto, Hironobu, 0D

Matsumoto, Hiroshi, 0E

Matsunawa, Tetsuaki, 0I

Matsuoka, Yoichi, 0R

Milléquant, Matthieu, 0H

Miura, Satoru, 0B

Mizuno, Makoto, 0R

Molkenboer, Freek, 0O

Moon, Jongin, 0J

Moriizumi, Koichi, 02

Morishita, Keiko, 0Q

Muilwijk, Pim, 0O

Mulckhuyse, Wouter, 0O

Nagata, Yutaka, 13

Nakagawa, Kazuki, 0R

Nakahara, Tatsunori, 03

Nakayama, Takahiro, 0R

Nakayamada, Noriaki, 0B, 0C, 0D

Nesládek, Pavel, 0P

Nijland, Bjorn, 0O

Nishida, Yuhto, 12

Nishimura, Rieko, 0B

Nojima, Shigeki, 0I

Nomura, Haruyuki, 0B

Ochiai, Shunsuke, 14

Ogata, Kiyoshi, 0Y, 0Y

Ohara, Shinobu, 02

Ohtoshi, Kenji, 0E

Omote, Kazuhiko, 0Y

Osanai, Makoto, 0W

Pang, Leo, 09

Pang, Linyong, 07

Park, Jongju, 0J

Pearman, Ryan, 08, 09, 0C, 0D

Pomerantsev, Michael, 07, 09

Postnikov, Sergei, 0H

Roeth, Klaus-Dieter, 0V

Rolff, Haiko, 0K, 0L

Russell, Gordon, 0G

Sagara, Tomoya, 11

Schedel, Thorsten, 0P

Schiavone, Patrick, 0H

Schulz, Kristian, 0X

Shen, Ming-Feng, 0G

Shen, Vincent, 0U

Shimizu, Kouta, 12

Shirali, Nagesh, 0C, 0D

Son, Suein, 0J

Song, Jin-Han, 0Z

Sonoda, Manae, 14

Steigerwald, Hendrik, 0V

Su, Bo, 07, 09

Suzuki, Yuta, 10

Syrel, Oleg, 09

Tabbone, Gilles, 0X

Tachibana, Yusaku, 0W

Takabayashi, Yukio, 0R

Takahashi, Hiroshi, 11

Takatsukasa, Yutetsu, 07

Takayama, Tomohiro, 14

Takeuchi, Masaki, 05

Tamura, Takao, 0E

Tani, Noriko, 03

Taniguchi, Rikiya, 0Y

te Sligte, Edwin, 0O

Tiphine, Charles, 0H

Tsai, Jenny, 0G

Tsai, Joe, 0G

Tzeng, Josh, 0U

Ueba, Ryosuke, 0D

van der Walle, Peter, 0O

van Putten, Michel, 0O

Vu, Hien, 0G

Wang, Sonny, 0J

Watabe, Atsushi, 05

Watanabe, Hidehiro, 0N

Watanabe, Takeo, 13

Watanabe, Yuki, 0I

Willis, Jan, 0A

Wistrom, Richard, 0L

Xue, Shan, 0J

Yagawa, Keisuke, 0Q

Yamanaka, Eiji, 0Y

Yamane, Takeshi, 0N

Yamashita, Hiroshi, 0E

Yang, Chuen-Huei, 0G

Yano, Kohei, 03

Yasui, Kenichi, 0D

Yonekawa, Masami, 0R

Yoshida, Akinori, 02

Yoshikawa, Ryoji, 0Q

Yoshikawa, Yutaka, 04

Yun, Sang-Pil, 0Z

Zable, Harold, 08, 0C, 0D

Conference Committee

Symposium Chair

  • Masato Shibuya, Tokyo Polytechnic University (Japan)

Symposium Vice Chair

  • Takeo Watanabe, University of Hyogo (Japan)

Advisory Committee Chair

  • Tadahiro Takigawa, ALITECS Corporation (Japan)

Advisory Committee

  • Morihisa Hoga, Consultant (Japan)

  • Toshiyuki Horiuchi, Tokyo Denki University (Japan)

  • Masanori Komuro, Consultant (Japan)

  • Masao Otaki, Consultant (Japan)

  • Yoshio Tanaka, D2S, Inc. (United States) and K.K. D2S (Japan)

Organizing Committee Chair

  • Masato Shibuya, Tokyo Polytechnic University (Japan)

Organizing Committee Vice Chair

  • Takeo Watanabe, University of Hyogo (Japan)

Organizing Committee

  • Uwe Behringer, UBC Microelectronics (Germany)

  • Parkson Chen, Taiwan Mask Corporation (Taiwan)

  • Junko Collins, SEMI Japan (Japan)

  • Brian J. Grenon, RAVE, LLC (USA)

  • Hideaki Hamada, HTL Company Japan Ltd. (Japan)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Eiichi Hoshino, Nikon Corporation (Japan)

  • Toshio Konishi, Toppan Printing Company, Ltd. (Japan)

  • Koji Mikami, Canon Inc. (Japan)

  • Hideaki Mitsui, HOYA Corporation (Japan)

  • Junji Miyazaki, ASML Japan Company, Ltd. (Japan)

  • Warren Montgomery, Consultant (United States)

  • Hiroaki Morimoto, Toppan Printing Company, Ltd. (Japan)

  • Yoshinori Ouchi, Hitachi High-Tech Science Corporation (Japan)

  • Kiwamu Takehisa, Lasertec Corporation (Japan)

Auditors

  • Takehiko Gunji, Sony Semiconductor Corporation (Japan)

  • Yoji Tonooka, Toppan Printing Company, Ltd. (Japan)

Steering Committee Chair

  • Toshio Konishi, Toppan Printing Company, Ltd. (Japan)

Steering Committee Vice Chairs

  • Takashi Kamo, Toshiba Memory Corporation (Japan)

  • Kazuyuki Sukou, Dai Nippon Printing Company, Ltd. (Japan)

Steering Committee

  • Akihiko Ando, Toshiba Memory Corporation (Japan)

  • Kunihiro Hosono, Renesas System Design Company, Ltd. (Japan)

  • Naoyuki Ishiwata, Mie FUJITSU Semiconductor Ltd. (Japan)

  • Kokoro Kato, Nihon Synopsys G.K. (Japan)

  • Jun Kotani, Toppan Printing Company, Ltd. (Japan)

  • Yasutaka Morikawa, Dai Nippon Printing Company, Ltd. (Japan)

  • Hiroaki Morimoto, Toppan Printing Company, Ltd. (Japan)

  • Naoki Nishida, HOYA Corporation (Japan)

  • Teruaki Noguchi, JEOL Ltd. (Japan)

  • Takamichi Sekine, NuFlare Technology, Inc. (Japan)

  • Masahiko Shimada, Mycronic Technologies Corporation (Japan)

  • Kiwamu Takehisa, Lasertec Corporation (Japan)

  • Nobuyuki Yoshioka, Dai Nippon Printing Company, Ltd. (Japan)

Program Committee Chair

  • Kiwamu Takehisa, Lasertec Corporation (Japan)

Program Committee Vice Chairs

  • Akihiko Ando, Toshiba Memory Corporation (Japan)

  • Jun Kotani, Toppan Printing Company, Ltd. (Japan)

Program Committee

  • Tsukasa Abe, Dai Nippon Printing Company, Ltd. (Japan)

  • Hajime Aoyama, Nikon Corporation (Japan)

  • Peter Buck, Mentor Graphics Corporation (USA)

  • Thomas Faure, GLOBALFOUNDRIES Corporation (USA)

  • Kazuyuki Hagiwara, K.K. D2S (Japan)

  • Hidemichi Imai, Dai Nippon Printing Company, Ltd. (Japan)

  • Ichiro Kagami, Sony Semiconductor Corporation (Japan)

  • Franklin Kalk, Toppan Photomasks. Inc. (USA)

  • Takashi Kamikubo, NuFlare Technology, Inc. (Japan)

  • Kokoro Kato, Nihon Synopsys G.K. (Japan)

  • Byung-Gook Kim, Samsung Electronics Company, Ltd. (Korea)

  • Yutaka Kodera, Toppan Printing Company, Ltd. (Japan)

  • Yasushi Kojima, Renesas System Design Company, Ltd. (Japan)

  • Yasuyuki Kushida, Mie FUJITSU Semiconductor Ltd. (Japan)

  • John Lin, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • Mark Ma, Photronics, Inc. (USA)

  • Hironobu Manabe, JEOL Ltd. (Japan)

  • Junji Miyazaki, ASML Japan Company, Ltd. (Japan)

  • Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan)

  • Chiaki Sato, Canon Inc. (Japan)

  • Tsutomu Shoki, HOYA Corporation (Japan)

  • Yasunari Sohda, Hitachi, Ltd. (Japan)

  • Yasuko Tabata, TowerJazz Panasonic Semiconductor Company, Ltd. (Japan)

  • Nobuhiko Yabu, Canon Inc. (Japan)

  • Nobuyuki Yoshioka, Dai Nippon Printing Company, Ltd. (Japan)

  • Guojing Zhang, Intel Corporation (USA)

Session Chairs

  • 1 FPD Photomasks I: Equipment Tools and Pellicle

    Ichiro Kagami, Sony Semiconductor Manufacturing Corporation (Japan)

    Nobuhiko Yabu, Canon Inc. (Japan)

  • 2 FPD Photomasks II: Material and Process

    Ichiro Kagami, Sony Semiconductor Manufacturing Corporation (Japan)

    Nobuhiko Yabu, Canon Inc. (Japan)

  • 3 Use of GPU in Mask Making I

    Kiwamu Takehisa, Lasertec Corporation (Japan)

    Peter D. Buck, Mentor Graphics Corporation (USA)

  • 4 Use of GPU in Mask Making II

    Jun Kotani, Toppan Printing Company, Ltd. (Japan)

    Bala Thumma, Synopsys, Inc. (USA)

  • 5 Writing Technologies

    Hironobu Manabe, JEOL Ltd. (Japan)

    Uwe Behringer, UBC Microelectronics (Germany)

  • 6 EDA & MDP

    Kazuyuki Hagiwara, D2S, K.K. (Japan)

    Patrick Schiavone, ASELTA Nanographics (France)

  • 7 Lithography Related Technologies

    Kokoro Kato, Nihon Synopsys G.K. (Japan)

    Leo Pang, D2S, Inc. (USA)

  • 8 Process

    Hidemichi Imai, Dai Nippon Printing Company, Ltd. (Japan)

    Paul W. Ackmann, GLOBALFOUNDRIES Corporation (USA)

  • 10 EUV Masks I

    Tsutomu Shoki, HOYA Corporation (Japan)

    Jo Finders, ASML (The Netherlands)

  • 11 EUV Masks II

    Tsukasa Abe, Dai Nippon Printing Company, Ltd. (Japan)

    Rik Jonckheere, Imec (Belgium)

  • 12 EUV Masks III

    Junji Miyazaki, ASML Japan (Japan)

    Guojing Zhang, Intel Corporation (USA)

  • 13 NIL

    Akihiko Ando, Toshiba Corporation (Japan)

    Douglas Resnick, Canon Nanotechnologies, Inc. (USA)

© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10454", Proc. SPIE 10454, Photomask Japan 2017: XXIV Symposium on Photomask and Next-Generation Lithography Mask Technology, 1045401 (4 August 2017); https://doi.org/10.1117/12.2285890
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
Back to Top