Presentation + Paper
9 April 2024 In-depth discussion on pitch doubling flow CpK improvement through process integration
Zhao Liu, Baodong Han
Author Affiliations +
Abstract
Self-aligned double patterning scheme is the dominant technique which is widely adopted in semiconductor industry to achieve finer patterns before extreme ultraviolet (EUV) lithography volume production is available. The critical dimension (CD) for the key structures fabricated from SADP flow, normally referred to as Bitline (BL) or Wordline (WL), is crucial to enable the microcircuitry to operate properly. Therefore, the CDs’ precise control receives substantial attention as a key indicator to demonstrate the manufacturing process capability in quality management. This paper presents an in-depth analysis on BL CDs’ variation control from SADP scheme, followed by several applicable approaches for process capability improvement across multiple modules through conceptual and experimental illustration, which could serve as a guideline for semiconductor manufacturing industry.
Conference Presentation
(2024) Published by SPIE. Downloading of the abstract is permitted for personal use only.
Zhao Liu and Baodong Han "In-depth discussion on pitch doubling flow CpK improvement through process integration", Proc. SPIE 12958, Advanced Etch Technology and Process Integration for Nanopatterning XIII, 129580G (9 April 2024); https://doi.org/10.1117/12.3010075
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Critical dimension metrology

Semiconducting wafers

Etching

Atomic layer deposition

Film thickness

Cadmium

Lithography

Back to Top