Paper
14 September 2001 SCAA mask exposures and Phase Phirst designs for 100 nm and below
Author Affiliations +
Abstract
The Sidewall Chrome Alternating Aperture (SCAA) mask has now successftilly printed resist images with k1 factors as low as 0.20, without significant focus-dependent spacewidth alternation or other anomalies that affect common alternating-PSM structures. The SCAA mask process (reported at BACUS 2000') etches the phase topography first and then forms the transparent openings that define the image in a conformal chrome layer deposited afterwards. This process minimizes the differences between the 3-dimensional environments ofthe phase shifted and unshifted mask features. With all chrome supported and all quartz walls covered, only the size of a chrome aperture determines its transmission and only the height difference ofthe quartz surface affects the phase shift. SCAA masks are more stable mechanically than alt-PSM structures in which the quartz walls are undercut beneath the chrome edges to minimize the french walls effects. The chrome covering the phase edges also buries entire classes ofunrepairable phase defects. Initial experiments on Canon ES2 and ES3 exposure tools confirm that KrF SCAA masks project acceptable images of isolated line and line-space patterns down to lOOnm in 280mn thick JSR M1O8Y resist. The process windows, however, were limited by resist collapse, and there were strong optical proximity effects. Comparing the resist results to SEM scans of the masks confirmed the insensitivity ofthe image to overlay errors, so long as the phase steps were covered by chrome. The Phase Phirst paradigm exploits the SCAA mask structure to enable low cost strong PSMs. The key is to mass produce SCAA mask substrates with generally useful phase topographies using wafer fab techniques. These Phase Phirst substrates would arrive at the mask houses ready to write and guaranteed to be free ofphase-defects. At design houses, Phase Phirstenabled EDA tools would lay out the chips in such a way that all fme dark features lie at the predetermined phase shift locations on the Phase Phirst substrate while the larger-dimension structures appear on a more conventional trim-mask. Once the GDS-II tapes arrive at the mask house, the chip design would be matched to the specified Phase Phirst substrate and printed in the chrome. Cost and turn around time should be similar to those of a COG mask pair. The wafer yield and resolution, however, would be enhanced by the well-known advantages of strong phase-shifting.
© (2001) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Marc D. Levenson, Takeaki Ebihara, and Mikio Yamachika "SCAA mask exposures and Phase Phirst designs for 100 nm and below", Proc. SPIE 4346, Optical Microlithography XIV, (14 September 2001); https://doi.org/10.1117/12.435709
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications and 61 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Phase shifts

Reticles

Etching

Semiconducting wafers

Lithography

Opacity

Back to Top