Paper
20 May 2004 Development of phase shift masks for extreme ultraviolet lithography and optical evaluation of phase shift materials
Author Affiliations +
Abstract
Phase Shift Masks (PSM) for Extreme Ultraviolet Lithography (EUVL) have the potential for extending the lithographic capability of EUVL beyond the 32-nm node. The concept of EUV PSM structures can be implemented either by adding absorber structures on top of the Mo/Si multilayers (additive approach) or by partial etching into the multilayers (subtractive approach). Among many technical challenges, evaluating optical constants of absorber materials is the most important issue particularly for PSM by the additive approach (PSM-ADD), while the etch stop capability and the etch selectivity with vertical sidewall profile are the main technical challenges for PSM fabricated by the subtractive method (PSM-SUB). For fast turn around of PSM development, the indirect optical constant evaluation through material analysis is a useful metrology technique. The optical constant of TaSiN extracted from Rutherford Backscattering Spectroscopy (RBS) data agrees well with that obtained from the direct measurement by transmission interferometric technique. For PSM-SUB, the concept of embedding B4C and NiFe etch stop layer (ESL) is verified by measuring reflectivity of the ESL embedded substrates and demonstrating good etch stop capability.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Sang-In Han, Eric Weisbrod, James R. Wasson, Rich Gregory, Qianghua Xie, Pawitter J. S. Mangat, Scott D. Hector, William J. Dauksher, and Kristine M. Rosfjord "Development of phase shift masks for extreme ultraviolet lithography and optical evaluation of phase shift materials", Proc. SPIE 5374, Emerging Lithographic Technologies VIII, (20 May 2004); https://doi.org/10.1117/12.535503
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Reflectivity

Extreme ultraviolet

Etching

Phase shifts

Photomasks

Extreme ultraviolet lithography

Silicon

RELATED CONTENT

Vote taking for EUV lithography a radical approach to...
Proceedings of SPIE (March 24 2017)
Current status of NGL masks
Proceedings of SPIE (July 19 2000)
Cr absorber mask for extreme-ultraviolet lithography
Proceedings of SPIE (January 22 2001)
Phase-shift mask for EUV lithography
Proceedings of SPIE (March 23 2006)
EUV mask fabrication with Cr absorber
Proceedings of SPIE (July 21 2000)

Back to Top