Open Access Paper
23 May 2016 Front Matter: Volume 9778
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9778, including the Title Page, Copyright information, Table of Contents, Introduction, and the Conference Committee listing.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Metrology, Inspection, and Process Control for Microlithography XXX, edited by Martha I. Sanchez, Vladimir A. Ukraintsev, Proceedings of SPIE Vol. 9778 (SPIE, Bellingham, WA, 2016) Six-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510600133

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2016, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/16/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

Image_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a six-digit CID article numbering system structured as follows:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B ... 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B...0Z, followed by 10-1Z, 20-2Z, etc.

Abe, Hideaki, 20

Abramovitz, Yaniv, 2R

Ache, Oliver, 3M

Adam, Ido, 1H

Adam, Kostas, 1Q

Adam, Omer, 1Y

Adams, Daniel E., 08, 0F, 0J

Adan, Ofer, 15

Adel, Michael E., 1L, 1M, 2J, 2R, 3B

Ahmad, Farhan, 3C

Ahn, Ki Cheol, 1M

Altamirano Sanchez, Efrain, 07, 0V

Alumot, Dror, 1H

An, Kevin, 1I

Anberg, Doug, 2W, 31

Anunciado, Roy, 1R

Arat, K. T., 0C

Argoud, Maxime, 15

Arnold, John, 22

Asano, Masafumi, 20

Ashwal, Eltsafon, 1H

Attota, Ravikiran, 11

Aung, Nyan Lynn, 0U, 2G, 2K, 2Q, 38

Azuma, Tsukasa, 16

Barnes, B. M., 0Y

Bastard, David, 2L

Baudemprez, Bart, 24

Bedford, Eilidh, 3C

Beems, Marcel, 1B

Beique, Genevieve, 22

Beisser, Eric, 3M

Belen, Jun, 2M

Bellmann, Enrico, 1E, 3O

Bello, Abner F., 0M, 0N

Ben-Dov, Guy, 2J, 3B

Bevis, Charles, 0F, 0J

Beyer, Dirk, 3D

Bhattacharyya, Kaustuve, 1I, 1Y, 29

Bijster, Roy, 0H

Bischoff, Joerg, 04

Blancquaert, Y., 3V

Boeckx, C., 36

Bolten, J., 0C

Bolton, David, 2V

Bouche, Eric, 2W, 31

Bozdog, Cornel, 0M, 10, 13, 1W

Brault, Frederic, 3M

Breton, Mary, 13

Bringoltz, Barak, 1H

Brinster, Irina, 1F

Brown, Justin, 3J

Bruls, Richard J., 1N

Buhl, Stefan, 1E

Bunday, Benjamin D., 0A, 0E, 2L

Burger, Sven, 39

Bussink, Peter, 35

Byun, Jin-Moo, 2B, 2E

Cadoux, C., 3V

Cai, Na, 34, 3Q

Callan, Neal, 1R

Camp, Janay, 0Z, 1M

Cardinal, Tom, 13

Cekli, Hakki Ergun, 3D

Cepler, Aron, 10, 13, 1W

Chai, Yvon, 2B, 2E

Chao, Robin, 10, 13

Chao, Weilun, 0I

Charley, Anne-Laure, 07

Chen, Albert, 1Y

Chen, Bryan, 1H

Chen, Charlie, 2U

Chen, Chia-Hung, 2R

Chen, Chu-en, 3N

Chen, Hsiang-Lin, 3S

Chen, Huiping, 3A

Chen, K. C., 3E

Chen, K. H., 29

Chen, Y. L., 29

Chen, Yaqiong, 0Q

Chen, Yen-Jen, 0T, 2G

Chen, Yulu, 22

Chen, Zhijin, 2D, 3Q

Cheng, Harvey, 2R

Cheng, Shiqiu, 3A

Chin, Jo-Lan, 2R

Cho, Sang-Joon, 2P

Cho, Winston, 1M

Choi, DongSub, 1F, 1L, 3B

Chou, Kuo-Yao, 2R

Chuang, Shu-Chuan, 3S

Chung, Woong Jae, 0U, 2G, 2K, 2Q, 38

Claveau, Guillaume, 15

Cohen, Avi, 3D

Cohen, Oded, 07, 10

Colburn, Matthew, 22

Constantoudis, Vassilios, 0V, 1X

Cramer, Hugo, 2B, 2E, 2N

Crowcombe, Will, 1B

Dasari, Prasad, 0M, 1W

Davoudzadeh, Nima, 0P

De La Pena, Abraham, 13

DeLeeuw, Yaron, 1H

Dellemann, Gregor, 1V

Demarest, James, 13

Demirer, Onur Nihat, 2K

den Boef, Arie, 1B, 1I

Deng, Guogui, 2C

Deng, Yunfei, 1Q

Depre, L., 1U, 2O

Dervilllé, A., 36

Di Lorenzo, Paolo, 0O

Diebold, Alain C., 05

Dillen, Harm, 1N

Dmitriev, Vladimir, 3D

Dong, Lisong, 2A

Dror, Chen, 2J, 2R

Duivenvoorde, Tom, 1B

Ebersbach, Peter, 12

Ebert, M., 2N

Ekinci, Y., 1O

El Kodadi, Mohamed, 3B

Elich, Frank, 1Y

Entezarian, Majid, 3H

Erley, Georg, 3O

Escalante Marun, Maryana, 29

Etzioni, Yoav, 1W

Fang, Chao, 0B

Fang, Fang, 06, 0Z, 1W

Fang, Wei, 0O, 3F

Fanton, P., 1U, 2O

Feinstein, Adam, 1A

Feler, Yoel, 1H

Felix, Nelson, 10, 13, 1K, 22

Foucher, J., 36

Foussadier, F., 1U

Frazer, Travis, 0I

Frenner, K., 0G

Freychet, G., 3V

Fritz, Erik, 1B, 35

Furthner, Francois, 29

Gallagher, Emily, 3D

Gao, Haiyong, 0U, 2G, 2K, 2Q, 38

Gao, Kewen, 0Q, 34

Gao, Weihong, 34, 3Q

Gao, Xindong, 1H

Garbowski, Tomasz, 1V

Garcia-Medina, Miguel, 2K

Gardin, C., 1U

Gardiola, C., 1U

Gardner, Dennis F., 08, 0F, 0J

Gatefait, M., 2Y

Gau, Tsai-Sheng, 3S

Gaudiello, John, 10, 13

Geiger, Bob, 3H

Gergaud, P., 3V

Ghinovker, Mark, 2J, 3B

Gin, Peter, 13

Goddard, Lynford L., 0P, 23

Gödecke, M. L., 0G

Godny, Stephane, 07

Gogolides, Evangelos, 1X

Gomez, Juan-Manuel, 0T, 0U, 2G, 2K, 2Q, 38

Gorhad, Kujan, 3D

Gosali, Benny, 29

Graves, Trey, 0B

Gray, Greg, 2J

Gready, David, 2J, 3B

Grodzinka, Dominika, 1N

Gronheid, Roel, 1X, 36

Grzela, Grzegorz, 1Y

Guhlemann, Steffen, 1E

Guillorn, Michael, 10

Gunay-Demirkol, Anil, 07

Guo, Wei, 2B, 2E

Gurevich, Evgeni, 1H

Gutjahr, Karsten, 1M, 2K

Gutsch, Manuela, 1V

Gutsche, Philipp, 39

Habets, Boris, 1E, 3O

Hagen, C. W., 0D, 1Z

Hajaj, Eitan, 2J

Halder, Sandip, 0O, 0W, 24, 36, 3F

Halle, Scott, 1K

Hamieh, Bassem, 1K

Han, JiWan, 2X

Han, Sang Hyun, 3B

Han, Sang-Jun, 1E, 1F, 1L, 2B

Hand, Sean, 1A

Hanson, Justin K., 0T

Hao, Jingan, 2C

Hao, Xueli, 0T, 2G

Hartig, Carsten, 12

Hasan, T., 2Y, 3G

Haupt, Ronny, 06

He, Kaiting, 2C

He, Weiming, 28, 2C

Hehl, Karl, 04

Helfenstein, P., 1O

Henn, M.-A., 0Y

Heo, Hoyoung, 1F, 25

Heraud, Stephane, 07

Hernandez-Charpak, Jorge, 0I

Herrera, Pedro, 1M

Herzel, Eitan, 3B

Higuchi, A., 0L

Hinnen, P. C., 3G

Hirano, Ryoichi, 17

Hodges, Jeffery, 2J

Hohle, Christoph, 1V

Hojo, Yutaka, 3K

Hoogeboom-Pot, Kathleen, 0I

Horiguchi, Naoto, 1T

Hossain, Mainul, 0M, 0N

Hou, Hsin-Ming, 3N

Hsu, Sharon, 2B, 2E

Hsu, Y.-B., 3N

Hu, Dawei, 0Z

Hu, Haokun, 0Q

Hu, Huayong, 28

Hu, Jia-Rui, 29, 3S

Hu, K.-Y., 3G

Huang, Chi-hao, 3E

Huang, Chin-Chang, 2R

Huang, Hao-Lun, 2R

Huang, Healthy, 2R

Huang, Jen-Chou, 2R

Huang, Kun, 3A

Huang, Vincent, 29

Humphris, Andrew D. L., 2L

Hung, Yuting, 2U

Hunsche, Stefan, 1R, 2O, 3F

Hussain, Shehzeen, 2G

Hwang, Chan, 1I, 3B

Hwang, Jaehee, 1S

Iddawela, Givantha, 0M

Ignatova, Velislava, 1Y

Iida, Susumu, 17

Ikota, Masami, 14, 16, 1T

Inoue, Osamu, 0V, 24

Isbester, Paul, 0M, 1W Ito, Y., 0L

Itzkovich, Tal, 1L, 1M, 2R

Iwaki, Yuuki, 1T

Jain, V., 2O

Jak, M., 2N

Jang, S.-Y., 3G

Jau, Jack, 0O, 3F

Jehoul, Christiane, 2M

Jeon, Sanghuck, 1F, 25

Jeon, Se-Ra, 1I

Jeong, Jong-Mun, 2E

Ji, Sunkeun, 1J

Jiang, Lei, 0I

Jiang, Yuntao, 2C

Jo, Ahjin, 2P

Jo, Gyoyeon, 1J

Jochemsen, Marinus, 1R

Jones, Chris, 1R

Ju, JaeWuk, 25, 3O

Jun, Jinhyuck, 1S

Jung, Mirim, 1L

Jung, Sang-Hoon, 2E

Jung, Woo-Yung, 20

Kagalwala, Taher, 1W

Kamalizadeh, Ferhad, 0T

Kanakasabapathy, Sivananda, 13

Kang, Byung Cheol, 0M

Kang, Hyunwoo, 1J

Kang, Y.-S., 3G

Kapteyn, Henry C., 08, 0F, 0I, 0J

Karl, Robert, Jr., 08, 0F, 0J

Kasahara, Yusuke, 16

Kato, Takeshi, 16

Kawada, Hiroki, 14, 1T

Ke, Chih-Ming, 29, 3S

Kea, Marc, 1Y, 2V, 32

Keller, Nick, 05

Kent, Eric, 2M

Keyvani, Aliasghar, 18

Khandelwal, Rahul, 2E

Kim, Byong, 2P

Kim, Choidong, 2T

Kim, Daewoo, 2T

Kim, Hyeongsoo, 2T

Kim, Hyun Sik, 32

Kim, Hyun-Sok, 2E

Kim, Jungchan, 1J

Kim, Keunjun, 2T

Kim, MinGyu, 25, 3O

Kim, Myoungsoo, 1E, 1F

Kim, Ryoung-han, 22

Kim, Sangwoo, 1J

Kim, Seop, 1E, 3O

Kim, Shinyoung, 1J, 1S

Kim, Taeddy, 2E

Kim, Y.-J., 3G

Kim, Yangjin, 3L

Kim, Young Ki, 0T

Kim, Young-Sik, 1F, 1L, 2B

King, Sean, 0I

Klare, Mark, 0M, 0N, 10

Klein, Dana, 1H

Klimpel, T., 0C

Klinov, Michael, 15

Knobloch, Joshua, 0I

Koay, Chiew-seng, 1K

Kobelkov, Sergey, 2X

Komori, Motofumi, 20

Konishi, Junko, 16

Koop, E. J., 3G

Koshihara, Shunsuke, 0V

Kramar, John, 11

Kramer, Geerten, 1B

Kruijswijk, Stefan, 2B, 2E

Kruit, P., 1Z

Kuiper, Stefan, 1B

Kung, Ji-Fu, 3N

Kuppuswamy, Vijaya-Kumar Murugesan, 1X

Kwak, Noh-Jung, 2B

Kwan, Michael, 0M, 0N

La Greca, R., 2O

Labrosse, A., 36

Laenens, Bart, 0W

Lamhot, Yuval, 1H

Lan, Yuan-Ku, 2R

Larson, Tom, 0M, 0N

Le Denmat, J. C., 1U

Le, Fee li, 13

Lee, Brian, 29

Lee, Byoung-Ho, 2W, 31

Lee, Byoung-Hoon, 2B

Lee, Dohwa, 1L

Lee, Honggoo, 1E, 1F, 1L

Lee, Jeongjin, 3B

Lee, Jongsu, 2B

Lee, Joonseuk, 1L

Lee, Ju Suk, 2P

Lee, JuHan, 25

Lee, Julie, 2D

Lee, Kangsan, 1L

Lee, Keibock, 2P

Lee, Kuntack, 1I, 3B

Lee, Myungjun, 1L, 26, 2R

Lee, Seung Yoon, 1I, 3B

Lee, Shawn, 0T, 0U

Lee, Sungkoo, 2T

Lee, Wei Ti, 0M, 0N

Leewis, Christian, 29

Le-Gratiet, B., 2O, 2Y

Lei, Chris, 0Q, 34

Lei, Ming, 0Q, 27

Lenahan, Michael, 0M, 0Z, 1W

Leray, Philippe, 07, 0O, 0W, 24, 2M, 36, 3D, 3F

Levasier, Leon, 1B

Levi, Shimon, 15

Leviant, Tom, 1H

Levinski, Vladimir, 1L

Levy, Ady, 1L, 26, 2R

Lewis, Brian, 1Y

L'herron, Benoit, 13

Li, Chen, 2V

Liao, C. H., 29

Liebig, Thomas, 1B

Likhachev, Dmitry, 12

Lin, Bill, 2U

Lin, Hua-Tai, 3S

Lin, Peter, 3Q

Lin, Yi-Shih, 2C

Lindenfeld, Ze'ev, 1H, 2J

Lio, En Chuan, 2U

Liu, Chang, 2C

Liu, Kevin, 0O, 3F

Liu, Shiyuan, 23

Liu, Zephyr, 1L

Lokhorst, S. R., 0D, 1Z

Lopez-Rios, Raymond, 0F

Lorusso, Gian Francesco, 0V, 1T, 24

Loubet, Nicolas, 10

Luijten, Carlo, 29

Lund, Parker, 10

Lung, Hsien-Yen, 2R

Luo, Woei-Bin, 3S

Ma, Won-Kwang, 2B

Mack, Chris A., 0A, 1Q

Mahendrakar, Sridhar, 0M, 0Z, 1W

Mahoney, Mark O., 0T

Mailfert, Julien, 0W

Mancini, Giulia F., 0F, 0J

Mancuso, Christopher, 08

Manka, James, 1H

Marciano, Tal, 1H, 1M

Maret, M., 3V Martin, N., 1U

Maruyama, Kotaro, 1J, 3N

Massier, Jennifer, 1N

Matsuki, Kazuto, 20

Mcardle, Timothy, 0N

McGarvey, Steve, 3J

McGowan, Richard, 1M Mc

Namara, Elliott, 1I

Megens, Henry, 1Y

Melnikov, Andrey, 3X

Menchtchikov, Boris, 2M

Mendoza, Brock, 13

Miceli, Giacomo, 2B, 2E

Mileham, Jeffrey, 2W, 31

Miller, Andrei, 3I

Miller, Eric, 13

Milligan, Eric, 1A

Minghetti, Blandine, 0U

Mish, Barbara, 3C

Mitsuishi, Mamoru, 3L

Mohacsi, I., 1O

Mokaberi, Babak, 2V, 32

Moore, Sam, 2J

Morey, Philippe, 3M

Mos, Evert, 1Y

Muckenhirn, Sylvain, 0R

Mulkens, Jan, 1Y

Murnane, Margaret M., 08, 0F, 0I, 0J

Muthinti, Raja, 10, 13

Nabeth, Jeremy, 1F, 25

Nardi, Damiano, 0I

Ndiaye, O., 1U

Nelson, Florence, 13

Newell, Travis, 3I

Ngo, Desmond, 29

Nguyen, Hoang, 3Q

Nooitgedagt, T., 2N

Noot, Marc, 1I, 29, 2V

Noyes, Ben F., III, 2V, 32

Oh, Jong Hun, 32

Oh, Nang-Lyeom, 1I, 1S

Oh, SeungHwa, 11

Oh, Soonho, 3B

Ohashi, Takeyoshi, 0V

Ohya, Kaoru, 17

Okagawa, Yutaka, 24

Omote, K., 0L

O'Mullane, Samuel, 05

Onishi, Tsuyoshi, 14

Osborne, Jason, 1A

Osten, W., 0G

Ott, John, 10

Overtoom, Ton, 1B

Owen, David M., 2W, 31

Palande, Ashwin, 2V

Pan, Yan, 3Q

Pandev, Stilian, 06

Panteleit, Friedhelm, 1V

Park, Byungjun, 1J

Park, Chanha, 1J, 1S

Park, Chris, 1S

Park, Dong-Gyu, 2E

Park, Dongsuk, 1M, 2K

Park, Jeongsu, 2T

Park, Kevin, 2V

Park, Minwoo, 1J

Park, Noh-Kyoung, 1I

Park, S.-J., 3G

Park, Sang-il, 2P

Park, Sean, 1S

Pathangi, Hari, 1X

Pearl, Haim, 3I

Pelletier, A., 1U

Peng, Yi-Hsing, 0W

Peterhänsel, S., 0G

Pierson, Bill, 1F, 25, 2K

Pitney, John A., 3X

Planchot, J., 1U

Pois, Heath, 0M, 0N

Pomplun, Jan, 39

Porter, Christina L., 08, 0F, 0J

Prentice, C., 2O, 2Y

Pret, Alessandro Vaglio, 1X

Qiu, Jian, 3C

Raghunathan, Ananthan, 1Q

Raghunathan, Sudhar, 2M

Rajasekharan, Bijoy, 1Y

Rajendran, R., 1O

Ramanathan, Vidya, 06, 1M

Rebel, Gerard, 1N

Reich, Elke, 1V

Reichanadter, Jonathan, 0F

Ren, Zhou, 0Z

Rey, S., 3V

Rider, Gavin C., 2S

Rijnbeek, Ramon, 1B

Rio, David, 0W

Robert, F., 1U

Robertson, Stewart, 0B

Robinson, John C., 1F, 25, 2K

Roelofs, Christian, 3D

Rößiger, Martin, 1E

Röth, Klaus-Dieter, 3M

Rudolph, Matthias, 1V

Sadeghian, Hamed, 0H, 18, 2Z

Sakai, Hideo, 14

Saltoun, Lilach, 1H

Samudrala, Pavan, 0T, 0U, 2G, 2K, 2Q, 38

Sanko, Dimitry, 06

Sato, Hironobu, 16

Saulnier, Nicole, 22

Saxena, Shweta, 0Z

Schmidt, Frank, 39

Schmitt-Weaver, Emil, 1Y

Schoonewelle, Hielke, 2M

Seaberg, Matthew H., 08

Seino, Yuriko, 16

Seipp, Steven, 0Z

Sella, Noga, 1H

Sendelbach, Matthew, 0M, 10, 13

Seo, Moo-Young, 2E

Shanblatt, Elisabeth R., 08, 0F, 0J

Sharoni, Ofir, 3D

Shi, Xuelong, 2C

Shi, Yaoming, 3A

Shi, Yating, 23

Shifrin, Michael, 1W

Shinoda, Shinichi, 3K

Shirasaki, Hirokimi, 21

Sieg, Stuart, 13, 1K

Silver, R. M., 0Y

Simiz, J.-G., 2O

Sindo, Hiroyuki, 3K

Singh, Amarnauth, 3C

Singh, A., 36

Smith, Mark D., 0B, 1L, 26, 2R

Smith, Martin, 3C

Snow, Patrick, 1M, 2K

Socha, Robert, 2M

Sohn, M. Y., 0Y

Song, Da, 0Z

Song, Ho Young, 34, 3Q

Song, Jinyan, 2R

Song, Yi, 2B, 2E

Song, Zhiyang, 2A

Staals, Frank, 29

Steidel, Katja, 1V

Steinert, Steffen, 3D

Storms, Greet, 1I

Sturtevant, John, 1Q

Su, Xiaojing, 2A

Subrahmanyan, Pradeep, 26

Subramanian, Ganesh, 0N

Subramany, Lokesh, 0U, 2G, 2K, 2Q, 38

Sugahara, Hitoshi, 3K

Sugita, Naohiko, 3L

Sun, Che-Yuan, 3S

Sun, Kyu-Tae, 1S, 2B, 2E

Sun, Lei, 22

Sung, Jun Ha, 32

Szucs, A., 1U

Takahashi, Satoru, 1T

Takamasu, Kiyoshi, 1T

Tamer, Mehmet S., 18

Tanaka, Yasushi, 2W

Tang, Hao, 22

Tanksalvala, Michael, 0F, 0J

Tarshish-Shapir, Inna, 2J, 3B

Taylor, Patrick A., 2P

Theeuwes, Thomas, 2B, 2E

Thrun, Xaver, 1V

Tian, Qing, 0Q, 27, 34

Tian, Ye, 0T

Tijssen, Robin, 29

Tillotson, Brock, 3I

Timoney, Padraig, 0M, 1A

Timoshkov, Vadim, 1R

Tinnemans, Patrick, 2M

Tiron, Raluca, 15

Tomimatsu, Satoshi, 14

Tomlinson, Derek, 0Q

Tondare, V. N., 09

Torikawa, Shota, 14

Toyoda, Yasutaka, 3K

Toyoshima, Yuya, 3N

Trautzsch, Thomas, 3D

Tripp, Marie, 0I

Tritchkov, Alexander, 2X

Triyoso, Dina, 0N

Tsai, Frankie, 29

Tsai, Hsueh-Jen, 2R

Tsuda, Hirotaka, 20

Tu, Ward, 29

Tuffy, Paul, 1S, 2M

Tung, Yu-Lung, 3S

Turovets, Igor, 07

Unal, N., 0C

Urbanowicz, Adam M., 12

Urenski, Ronen, 07

v. d. Laan, H., 2N

v. d. Zouw, G., 2N

Vaglio Pret, Alessandro, 0B, 1X

Vaid, Alok, 06, 0M, 0N, 0Z, 1A, 1W

Valley, John F., 3X

van de Mast, Frank, 1I

van der Donck, Jacques, 35

van der Walle, Peter, 35

van Dijsseldonk, Anton, 1B

van Es, Maarten H., 18, 2Z

van Haren, Richard, 3D

van Heijst, Joost, 1I, 1Y

van Keulen, Fred, 0H

Van Veen, Martijn, 29

van Zwet, Erwin, 1B

Vanoppen, P., 2N

Varanasi, Rao, 3C

Venkatachalam, Panneerselvam, 2D

Venkataraman, Kartik, 06, 0Z

Verduijn, Erik, 22

Verduin, T., 0D, 1Z

Vergaij-Huizer, Lydia, 1Y

Verma, Alok, 2B, 2E

Verstappen, Leon, 1Y

Villarrubia, J. S., 09

Vladár, A. E., 09

Volatier, Jean-Baptiste, 35

Voncken, M. M. A. J., 3G

Wagner, Mark, 1H

Wahl, Jeremy, 0N

Wan, Alex, 3F

Wang, Fei, 0O, 3F

Wang, Gary Ch., 2U

Wang, I-Lin, 2R

Wang, Lester, 3F

Wang, Liuchen, 34

Wang, Sybil, 2U

Wang, Wenhui, 22

Wang, Xiaozhen, 0P

Wang, Ying, 0N

Wardenier, Peter, 1Y

Warrick, Scott, 0X

Watanabe, Hidehiro, 17

Wei, Yayi, 2A

Weinberg, Yakov, 15

Weintraub, Jeffrey, 0X

Welch, Steven, 2B, 2E

Weng, Tang Chun, 2U

Wildenberg, Jochem, 1Y

Willems, Lotte, 1Y

Wise, Rich, 2M

Wisse, Baukje, 2B, 2E

Witvoet, Gert, 1B

Wolfling, Shay, 07, 10, 13

Wormington, Matthew, 13

Wu, Kevin, 0Q, 27, 34

Wu, Qiang, 2C

Wu, Sarah, 2J

Xiao, Lihong, 2C

Xie, Qian, 2D

Xing, Bin, 2C

Xu, Yiping, 3A

Xu, Yongan, 22

Yamaguchi, Satoru, 16

Yamazaki, Y., 3N

Yang, Elvis, 3E

Yang, Hyunjo, 1J, 1S

Yang, Jui-Chin, 2R

Yang, Mars, 3E

Yang, T. H., 3E

Yap, Lipkong, 2K

Yathapu, Nithin, 3J

Yaziv, Tal, 1H Ye, Lei, 28

Yellai, Naren, 0M, 1W

Yoo, Young-kook, 2P

Yoon, Nam Hee, 0Z

Young, Stuart, 29

Yu, Chun Chi, 2U

Zafar, Khurram, 2D, 3Q

Zandiatashbar, Ardavan, 2P

Zeidler, Dirk, 1V

Zeng, Xuefeng, 34, 3Q

Zhan, Yunkun, 3A

Zhang, Bosheng, 08

Zhang, Kedi, 0P

Zhang, Pengcheng, 0O, 3F

Zhang, Qiang, 2C

Zhang, Rui, 2B, 2E

Zhang, Xiaoxiao, 06, 1A

Zhang, Youping, 1S

Zhang, Zhensheng, 3A

Zhao, Bin, 2L

Zhao, Yan, 0Q, 27, 34

Zheng, Chumeng, 1K

Zhivotovsky, Alexander, 3J

Zhou, H., 0Y

Zhou, Jianming, 2J

Zhou, Xinjian, 1R

Zhou, Yue, 1M

Zhu, Jinlong, 0P, 23

Zimmermann, Y., 36

Zschiedrich, Lin, 39

Zuniga, Christian, 1Q

Conference Committee

Symposium Chair

  • Mircea V. Duso, ASML US, Inc. (United States)

Symposium Co-chair

  • Bruce W. Smith, Rochester Institute of Technology (United States)

Conference Chair

  • Martha I. Sanchez, IBM Research - Almaden (United States)

Conference Co-chair

  • Vladimir A. Ukraintsev, Qorvo™ (United States)

Conference Program Committee

  • Ofer Adan, Applied Materials (Israel)

    John A. Allgair, Nanometrics Inc. (United States)

    Masafumi Asano, Toshiba Corp. (Japan)

    Benjamin D. Bunday, SEMATECH Inc. (United States)

    Jason P. Cain, Advanced Micro Devices, Inc. (United States)

    Alek C. Chen, ASML (United States)

    Timothy F. Crimmins, Intel Corp. (United States)

    Daniel J. C. Herr, The University of North Carolina at Greensboro (United States)

    Chih-Ming Ke, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan)

    Shunsuke Koshihara, Hitachi High-Technologies Corp. (Japan)

    Yi-Sha Ku, Industrial Technology Research Institute (Taiwan)

    Byoung-Ho Lee, Ultratech (United States)

    Christopher J. Raymond, Nanometrics Inc. (United States)

    John C. Robinson, KLA-Tencor Corp. (United States)

    Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

    Richard Silver, National Institute of Standards and Technology (United States)

    Eric Solecky, GLOBALFOUNDRIES Inc. (United States)

    Alexander Starikov, I&I Consulting (United States)

    Alok Vaid, GLOBALFOUNDRIES Inc. (United States)

Session Chairs

  • Opening Remarks and Award Announcement

    Martha I. Sanchez, IBM Research - Almaden (United States)

  • 1 Keynote Session

    Martha I. Sanchez, IBM Research - Almaden (United States)

    Vladimir A. Ukraintsev, Qorvo™ (United States)

  • 2 Optical Metrology I

    Daniel J. C. Herr, The University of North Carolina at Greensboro (United States)

    Richard M. Silver, National Institute of Standards and Technology (United States)

  • 3 SEM I: Modeling and Simulation

    Benjamin D. Bunday, SUNY Poly SEMATECH (United States)

    Shunsuke Koshihara, Hitachi High-Technologies Corp. (Japan)

  • 4 New Horizons

    Richard M. Silver, National Institute of Standards and Technology (United States)

    Daniel J. C. Herr, The University of North Carolina at Greensboro (United States)

  • 5 X-ray Methods

    John A. Allgair, Nanometrics Inc. (United States)

    Shunsuke Koshihara, Hitachi High-Technologies Corp. (Japan)

  • 6 Inspection

    Timothy F. Crimmins, Intel Corp. (United States)

    Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

  • 7 Process Control

    Alok Vaid, GLOBALFOUNDRIES Inc. (United States)

    Alek C. Chen, ASML (United States)

  • 8 Optical Metrology II

    Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

    Alek C. Chen, ASML (United States)

  • 9 SEM II

    Ofer Adan, Applied Materials, Ltd. (Israel)

    Timothy F. Crimmins, Intel Corp. (United States)

  • 10 AFM

    Vladimir A. Ukraintsev, Qorvo™ (United States)

  • 11 Overlay: Metrology Target Design and Optimization

    Alexander Starikov, I&I Consulting (United States)

    Byoung-Ho Lee, Ultratech, Inc. (United States)

  • 12 Overlay Optimization: Joint Session with Conferences 9778 and 9780

    John C. Robinson, KLA-Tencor Corp. (United States)

    Young Seog Kang, SAMSUNG Electronics Co., Ltd. (Korea, Republic of)

  • 13 Mask Inspection

    Alek C. Chen, ASML (United States)

    Byoung-Ho Lee, Ultratech (United States)

  • 14 Design Interaction with Metrology: Joint Session with Conferences 9778 and 9781

    Alexander Starikov, I&I Consulting (United States)

    Jason P. Cain, Advanced Micro Devices, Inc. (United States)

  • 15 Late Breaking News

    Martha I. Sanchez, IBM Research - Almaden (United States)

    Alok Vaid, GLOBALFOUNDRIES Inc. (United States)

Introduction

The 30th conference on Metrology, Inspection, and Process Control for Microlithography had close to a record number of submitted abstracts. The Program Committee Members, as a result, put much time and effort in producing a high quality program of technical content for the audience.

The conference began with two outstanding Keynote presentations. Martin van den Brink from ASML started the Keynote session with “Holistic Lithography and Metrology's Importance in Driving Patterning Fidelity", laying out today's metrology developments in a 30 year context. This was followed by Markus Kuhn from Intel with “Smart Metrology for Continuing Moore's Law Scaling”, describing the need for analytical laboratories to step in to supplement manufacturing metrology.

To celebrate the 30th meeting of the conference, champagne and hors d'oeuvres were served as the conference attendees played a game to test their knowledge of metrology over the last 30 years.

A strong contingent of student papers made it difficult for the judges to award the Best Student paper this year. Their presentations were professional and the content was high quality. Obvious effort to improve SEM modeling and to use it for OPC and even for in-line measurements were prominent. There were an overwhelming number of overlay metrology papers underpinning the field's importance, improvement in accuracy, and paving the way to a nm uncertainty. There has been good progress on X-ray CD metrology (including in-line metrology), along with progress in e-beam and optical inspection of defects with dimensions below 100 nm.

Joint sessions continued to be an excellent way to merge and interact with other conferences. This year we had two joint sessions.

SESSION 12: Overlay Optimization: The first joint session, with the Optical Microlithography conference 9780 and 9778 chaired by John C. Robinson and Young Seog Kang, benefitted from the strong number of overlay abstracts submitted this year:

  • “Patterned wafer geometry (PWG) metrology for improving process-induced overlay and focus problems,” (Invited Paper) Timothy A. Brunner, et al., GLOBALFOUNDRIES Inc. [9780-31]

  • “Root cause analysis of overlay metrology excursions with scatterometry overlay technology (SCOL),” Vidya Ramanathan, KLA-Tencor New York; et al. [9778-117]

  • “Assessments of image-based and scatterometry-based overlay targets,” Chiew-Seng Koay, et al., IBM Corp. [9778-55]

  • “Lithography-aware overlay metrology target design method,” Myungjun Lee, et al., KLA-Tencor Corp. [9778-56]

  • “Improvement of unbalanced illumination induced telecentricity within the exposure slit,” Jong Hoon Jang, et al., SAMSUNG Electronics Co., Ltd. [9780-32]

SESSION 14: Design Interaction with Metrology: The second joint session was with the conference on Design-Process-Technology Co-optimization for Manufacturability 9781 and 9778 chaired by Alexander Starikov and Jason P. Cain—was standing-room only:

  • “Modeling metrology for calibration of OPC models,” Chris A. Mack, et al., Lithoguru.com [9778-61]

  • “OPC optimization techniques for enabling the reduction of mismatch between overlay metrology and the device pattern cell,” Shinyoung Kim, et al., SK Hynix, Inc. [9778-137]

  • “The innovative PWQ method for accurate weak point extraction in DRAM,” Daehan Han, et al., SAMSUNG Electronics Co., Ltd. [9781-27]

  • “Process window limiting hot spot monitoring for high-volume manufacturing,” Marinus Jochemsen, et al., ASML [9778-63]

Continued below are the winners of the 2015 Diana Nyyssonen Memorial award for best paper and the 2016 Karel Urbanek Best Student paper award.

Martha I. Sanchez

Vladimir A. Ukraintsev

The Diana Nyyssonen Memorial Award for Best Paper

Diana Nyyssonen was a pioneer in the field of dimensional metrology. Her early interests in optics were formed under the tutelage of Professor Edward L. O'Neill at Boston University. In 1965 she went to work for Brian J. Thompson and George B. Parrent, Jr. at Technical Operations, Inc., Burlington, Massachusetts. Diana's knowledge of physical optics and related modeling grew rapidly as she worked on the teams developing the theory of partially coherent imaging, physical models, equipment and applications for the US government, astronomy, and industry. Her professional circle at that time included George O. Reynolds, John B. DeVelis, Adriaan Walther, Philip S. Considine, Richard J. Becherer, and Richard E. Swing.

Dr. Diana Nyyssonen; 1980 (Image courtesy Jeffrey Nyyssonen Swing)

00029_psisdg9778_977801_page_23_1.jpg

In 1969 Diana Nyyssonen joined the Image Optics and Photography Section of the Metrology Division at the National Bureau of Standards (NBS), initially working for Calvin S. McCamy on microdensitometry, then on linewidth measurements for integrated circuit manufacturing applications. Sponsored by NBS, Diana also attended the Institute of Optics, University of Rochester at Rochester, New York where Professor B. J. Thompson, Director of the Institute, was her advisor. She completed her PhD in 1975 with her thesis “High resolution microdensitometry of photographic emulsions” reflecting on the scientific foundations and the outlook of the things to come. Dr. Nyyssonen's modeling of thin films observed by an optical microscope demonstrated that line (space) width smaller than Rayleigh resolution limit can be measured. She produced linewidth measurements in photomasks with calibration based on physical modeling and first principles, establishing the first critical dimension standards for the microelectronics industry and the foundation of today's dimensional metrology.

Dr. Nyyssonen left NBS in 1985 to form her own metrology company, and then joined IBM at East Fishkill, New York in 1988. Continuing the development of accurate optical modeling of imaging of thick films with Christopher P. Kirk, NBS, and other teams, she started projects on metrology with scatterometry and interference microscopy, modeled imaging in low voltage CD-SEM, and spearheaded the establishment of CD-AFM.

Diana Nyyssonen's personal contributions to the field of dimensional metrology, as well as her collaborations and mentorships in the industry, consortia, and academia, accelerated and influenced the development of basic technology and metrology applications with optical microscopy and scatterometry, SEM, and AFM.

Metrology, Inspection, and Process Control for Microlithography is the leading international forum for the discussion and presentation of technical advances in the broader field of semiconductor metrology. The Diana Nyyssonen Memorial Award for the Best Paper at this Conference recognizes the most significant current contributions.

Due to the Conference's long history, significant attendance, and high paper counts, to win this Award requires a very significant new contribution to the field. The selection of the best paper is initiated during the Conference by nomination, followed by extensive review by the Program Committee. It is based on both the technical merit and persuasiveness of the oral presentation and the overall quality of the published paper. Past award winners include leading international researchers in the area of semiconductor metrology and process control, whose contributions have fundamentally improved the way semiconductors are manufactured.

We are pleased to honor the winners of the Diana Nyyssonen Memorial Award for the Best

Paper of 2015, as well as those who have won in previous years:

2015

Narender Rana, Yunlin Zhang, Donald Wall, Bachir Dirahoui, and Todd C. Bailey, “Machine Learning and Predictive Data Analytics Enabling Metrology and Process Control in IC,” Proc. SPIE vol. 9424, 94241I (2015).

2014

András E. Vladár, John S. Villarrubia, Jasmeet Chawla, Bin Ming, Joseph R. Kline, Scott List, Michael T. Postek, “10 nm three-dimensional CD-SEM metrology,"Proc. SPIE vol. 9050, 90500A (2014).

2013

Ozan Ugurlu, Michael Strauss, Gavin Dutrow, Jeff Blackwood, Brian Routh, Corey Senowitz, Paul Plachinda, Roger Alvis, “High-volume process monitoring of FEOL 22nm FinFET structures using an automated STEM,” Proc. SPIE vol. 8681, 868107 (2013).

2012

Narender Rana and Dario Goldfarb, “Bridging CD metrology gaps of advanced patterning with assistance of nanomolding,” Proc. SPIE, Vol. 8324, 83241M (2012).

2011

Shiano Ono, Miyuki Yamane, Mitsuo Ogasawara, Akira Katakami, Jiro Yugami, Masanari Koguchi, Hiroyuki Shinada, Hiroshi Kakibayashi, Kazuto Ikeda, and Yuzuru Ohji, “Highprecision edge-roughness measurement of transistor gates using three-dimensional electron microscopy combined with marker-assisted image alignment,” Proc. SPIE, Vol. 7971, 79710M (2011).

2010

David Laidler, Koen D'havé, Anne-Laure Charley, Philippe Leray, Shaunee Cheng, Mircea Dusa, Peter Vanoppen, and Paul Hinnen, “A single metrology tool solution for complete exposure tool setup,” Proc. SPIE, Vol. 7638, 763809 (2010).

2009

Benjamin Bunday, Aaron Cordes, John Allgair, Vasiliki Tileli, Yohanan Avitan, Ram Peltinov, Maayan Bar-zvi, Ofer Adan, Eric Cottrell, and Sean Hand, “Phenomenology of electron-beam-induced photoresist shrinkage trends,” Proc. SPIE, Vol. 7272, 72721B (2009).

2008

Alok Vaid, Rohit Pal, Matthew Sendelbach, Shahin Zangooie, Kevin Lensing, and Carsten Hartig, “Scatterometry as technology enabler for embedded SiGe process,” Proc. SPIE, Vol. 6922, 69220U (2008).

2007

Matthew Sendelbach, Javier Ayala, and Pedro Herrera, “Predicting electrical measurements by applying scatterometry to complex spacer structures,” Proc. SPIE, Vol. 6518, 651825 (2007).

2006

Masafumi Asano, Takahiro Ikeda, Toru Koike, and Hideaki Abe, “In-line CD metrology with combined use of scatterometry and CD-SEM,” Proc. SPIE, Vol. 6152, 61521V (2006).

2005

John S. Villarrubia and Benjamin D. Bunday, “Unbiased estimation of linewidth roughness,” Proc. SPIE, Vol. 5752, 480 (2005). Xxii

2004

Christopher P. Ausschnitt, “A new approach to pattern metrology,” Proc. SPIE, Vol. 5375, 51 (2004).

2003

John S. Villarrubia, Andras E. Vladar, and Michael T. Postek, “Simulation study of repeatability and bias in the CD-SEM,” Proc. SPIE, Vol. 5038, 138 (2003).

2002

Jon L. Opsal, Hanyou Chu, Youxian Wen, Yia-Chung Chang, and Guangwei Li, “Fundamental solutions for real-time optical CD metrology,” Proc. SPIE, Vol. 4689, 163 (2002).

2001

Sylvain Muckenhirn, A. Meyyappan, Kelvin Walch, Mark J. Maslow, Geert Vandenberghe and Johannes van Wingerden, “SPM characterization of anomalies in phase-shift mask and their effect on wafer features,” Proc. SPIE, Vol. 4344, 188 (2001).

The Karel Urbánek Best Student Paper Award

Karel Urbánek studied electronics and high-vacuum physics at the Charles University in Prague, the valedictorian of his class. To earn his way through college, and to learn about the life in the West, he worked as a tour guide. That is how he met two key people in his life and career: Lida Náprstek, then a student at Radcliffe College leading a group of American school kids, and Sonny (Melville) Marx, an investment banker from San Francisco. After graduation he used this learning and cash to escape the soviet system by flying to a “vacation” in Cuba. He slipped away during a stopover in Halifax, Nova Scotia. His first call was to Lida; the second was to Sonny. He requested asylum. The local American consul arranged for his entry into the United States.

Karel Urbánek (Image courtesy Lida Urbanek)

00029_psisdg9778_977801_page_26_1.jpg

Karel soon found a job with the Lincoln Laboratory in Cambridge, Massachusetts, then moved to the San Francisco Bay Area. He joined Varian Associates and worked for Bill Wheeler on vacuum equipment for semiconductor manufacturing.

Recognizing an opportunity in the new field of sputtering for thin film deposition, Karel and fellow Varian employees John Schwabacher, Ed Kerswill, Al Lang, and Barry Hart founded Randex in 1970.

By 1973, with Randex business in rapid growth, its founders agreed to an acquisition by Perkin-Elmer. Karel became the research director for the Ultek division of Perkin-Elmer in Palo Alto, California.

Sputtering projects often led to the need to accurately measure the thickness of the deposited film. Recognizing another opportunity, some of the original Randex team (Urbánek, Schwabacher, Kren, Hart, and Kerswill) formed Tencor in 1976. Bill Wheeler and Jerry Gabe later joined Tencor. Sonny Marx, their venture capitalist in the Randex start up, insisted that they re-invest their gains in this new venture, a move to leverage their past success and to control their new business, ultimately making most of them wealthy.

Tencor's first product was the Alpha-Step profilometer in 1977. It used a simple but very robust method for measuring displacement. Urbánek even insisted on literally kicking a packing case containing an Alpha-Step across a room, then opening it up to set up and, within minutes, measure film thickness and step height. Simple to use, stable and repeatable to siub-1nm the tool was an instant success. The Tencor team then bet the company on their next big product: Surfscan wafer inspection tool. They nearly bankrupted the company developing reliable detection of small defects and automation. That pioneering technology, as in the Alpha-Step, also quickly became ubiquitous and it is still in use today.

Karel Urbánek served as CEO and Chairman of the Board of Tencor for fifteen years until his death in 1991. He was also active in the SEMI International Standards Program and was honored in 1992 with the creation of the Karel Urbánek Award, the most prestigious honor for participants in the SEMI International Standards Program.

Karel and Lida Urbánek were passionate supporters of education, particularly in science and engineering. This support lives on through the Karel Urbánek Fellowship at Stanford University, the Karel Urbánek Laboratories at the Charles University in Prague, and the Urbánek /Levy Education Fund for the children of KLA-Tencor employees.

The Karel Urbánek Best Student Paper Award sponsored by KLA-Tencor Corp. was created in 2014 to recognize the best paper authored by a current student at the conference. In order to be eligible, the student must be the primary author and must present the work at the conference. It is our great pleasure to recognize this year's winner along with previous recipients:

2016

Maria Laura Gödecke, Sandy Peterhänsel, Karsten Frenner, and Wolfgang Osten, “Measurement of asymmetric side wall angles by coherent scanning Fourier scatterometry,” [9778-16]

2015

Kathleen M. Hoogeboom-Pot, Jorge N. Hernandez-Charpak, Travis Frazer, Xiaokun Gu, Emrah Turgut, Erik H. Anderson, Weilun L. Chao, Justin M. Shaw, Ronggui Yang, Margaret M. Murnane, Henry C. Kapteyn, Damiano Nardi, “Mechanical and thermal properties of nanomaterials at sub-50nm dimensions characterized using coherent EUV beams,” [9424-43]

2014

Thomas Verduin, Cornelis W. Hagen, Pieter Kruit, “Determination of line edge roughness in low dose top-down scanning electron microscopy images,” Proceedings of SPIE Vol. 9050, 90500L (2014).

© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9778", Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 977801 (23 May 2016); https://doi.org/10.1117/12.2229274
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metrology

Overlay metrology

Electron beam lithography

Signal processing

Inspection

3D image processing

3D modeling

Back to Top