Open Access
27 December 2017 Special Section Guest Editorial: EUV Lithography for the 3-nm Node and Beyond
Author Affiliations +
Abstract
This guest editorial introduces and summarizes the Special Section on EUV Lithography for the 3-nm Node and Beyond

To continue the extension of Moore’s law, multiple IC makers are planning insertion of EUV lithography (EUVL) at the 7-nm node in high-volume manufacturing. This introduction, expected to start in 2018–2019, is a historic introduction of a major new chip manufacturing technology. Its successful readiness is owed to tremendous efforts and investments by the R&D community, suppliers, and IC makers over several decades. EUVL is expected to be a multi-node patterning technology, and we expect that additional challenges will need to be addressed. In the call for papers for this special section, we outlined areas of challenges and some specific challenges, at the 3-nm node and beyond, in the areas of light sources, tool, metrology, mask, and resists. Each area was covered by a leading expert. By outlining these challenges, we hoped to stimulate the EUVL R&D community to propose and evaluate multiple solutions to many of these challenges. These potential solutions will be further evaluated, developed, and then narrowed down and commercialized. This search for potential solutions for expected technical challenges in the future nodes is an ongoing cycle, part of IC makers’ effort to continue extending Moore’s law. This special section, with thirteen papers, is a useful step in that direction. In the following editorial, we give a brief review of these papers.

EUV masks will need to evolve in terms of material, modeling and defect control. The current choice of mask absorber layer is Ta-based with thickness around 60–70 nm. There are recognized issues related to mask 3D effects and bias in printing vertical vs. horizontal features when extending the current mask architecture to patterning smaller geometries. One potential solution is to use alternative materials that allow a thinner absorber layer. In two papers on this topic, one from IMEC et al. and other from Hoya, the authors propose nickel, cobalt, and multilayer based (Ta/Si) solutions as the new mask absorbers.

In the paper from Fraunhofer, the authors presented comprehensive simulations using an extended rigorous coupled wave analysis (RCWA) approach for masks and the Abbe method for imaging. The fundamental analysis and developed simulators will facilitate the understanding of various mask effects, defects and pellicle impact.

As additional EUV source powers will be required at ever decreasing nodes, an alternate type of EUV masks, one without absorbers, may be able to produce brighter images than current standard EUV masks, thus reducing the photon dose. These phase-etched masks, proposed by a group at University of California at Berkeley et al., may be another potential solution for planned anamorphic imaging for high NA scanners.

As in optical lithography, EUV pellicles are needed to protect the mask from fall-on particles during handling and use in the scanner. One particular challenge in EUV pellicle readiness is thermal management because the pellicle membrane always absorbs a significant amount of EUV light. The problem becomes even more severe with high EUV powers and no known material has been demonstrated that a full-sized pellicle can withstand the full EUV power load while still maintaining its integrity. Innovation or invention is needed in finding and developing the membrane materials. Papers from Hanyang University presented their studies of thermal properties of different membrane materials and effects of wrinkles if they were present.

Mask inspection is a critical step and tools using DUV light as currently employed for EUV mask pattern inspection will not be capable at the 3-nm node due to resolution limitations. Actinic inspection tools using EUV light will be needed. Typical method of inspection is based on direct imaging of the mask patterns with reflected light. The paper from PSI described an alternative method based on scanning coherent diffraction imaging. A basic inspection prototype was set up using the coherent EUV light from synchrotron radiation at PSI’s Swiss Light Source and reconstruction of mask pattern images and defect detection were demonstrated.

The high pattern density on EUV masks will most likely not allow the adaption of sub-resolution assist features as in DUV optical lithography. However, optical proximity correction (OPC) is used for patterning with 0.33 NA as well as high NA. Due to asymmetrical patterns on the mask used in anamorphic imaging, additional effects need to be considered in OPC modeling. The paper by Mentor Graphics provided a comprehensive analysis of the impact of anamorphic EUV imaging optics on the flow of full mask data preparation and presented the OPC solution with simulations of various components.

Industry consensus holds that further extensions of EUVL for future nodes will be achieved by increasing numerical aperture (NA) from 0.33 to 0.55, combined with a switch to anamorphic imaging optics to keep the current size of masks. A paper by ASML spells out in detail various aspects of such high NA scanners and their implications on imaging.

Stochastic effects represent another critical challenge as we continue to shrink the feature size. In this regime, material inhomogeneities at the nanoscale and photon shot noise become increasingly important considerations and are manifested in the form of random printing failures and microbridging. A paper from IMEC uses SEM-based images to develop metrics that can quantify these effects and explores options available to reduce variability and failures due to stochastic effects.

EUV photoresists need to enable imaging that results in fault-free printing on an appropriate underlayer material, while balancing the challenges imposed by stochastic variability and expensive EUV photons. Inorganic nonchemically amplified metal-based photoresists have a strong potential to enable imaging solutions while tackling these challenges. The next paper from Cornell et al. shows the successes and failures of such photoresists based on Zr.

As mentioned earlier, more and more EUV source power will be required at smaller nodes due to new imaging systems and tool configurations that allow us to use the same wavelength but continue to print ever-decreasing features. Options for more power comes twofold — while continuing to increase power from current tin based laser-produced plasma sources or move to entirely new technology like free-electron lasers (FEL). FEL designs for EUVL applications are still in their infancy. A paper from GlobalFoundries reviews FEL designs and their implications for EUVL. Another paper from HiLASE reviews the efforts on development of high power ps YAG lasers that can create more efficient coupling between tin droplets and high-power CO2 lasers that power the EUV sources. Increasing coupling efficiency is one of several potential ways that power from LPP source technology can be further scaled.

To summarize, this special section offers papers that present various ideas to address several technical challenges ahead of us as we extend EUVL for use in patterning at the 3-nm node and beyond. Our hope is that this special section, in the refereed journal format, will give a wider exposure to these ideas and that additional work will take place to further mature and, if found suitable, ready them for manufacturing. Many of the papers are the fruit of joint research from many institutions, as addressing these challenges will require the joint efforts of many research groups. We thank the authors and reviewers for their hard work and for making this special section a success. We expect to return in several years to have another look at the solutions to enable 3-nm node patterning and to review landscape of challenges and potential solutions for patterning at 2 nm and beyond with EUVL.

© 2017 Society of Photo-Optical Instrumentation Engineers (SPIE)
Vivek Bakshi, Hakaru Mizoguchi, Ted Liang, Andrew Grenville, and Jos P. Benschop "Special Section Guest Editorial: EUV Lithography for the 3-nm Node and Beyond," Journal of Micro/Nanolithography, MEMS, and MOEMS 16(4), 041001 (27 December 2017). https://doi.org/10.1117/1.JMM.16.4.041001
Published: 27 December 2017
Lens.org Logo
CITATIONS
Cited by 8 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photomasks

Extreme ultraviolet

Optical lithography

Inspection

Pellicles

Free electron lasers

RELATED CONTENT

EUV lithography: progress, challenges, and outlook
Proceedings of SPIE (October 17 2014)
Overlay challenges in the era of high-NA
Proceedings of SPIE (April 27 2023)
EUV or 193i Who wins the center stage for...
Proceedings of SPIE (October 23 2015)
EUV progress toward HVM readiness
Proceedings of SPIE (March 18 2016)
NXE pellicle offering a EUV pellicle solution to the...
Proceedings of SPIE (March 18 2016)
Defect management of EUV mask
Proceedings of SPIE (June 29 2012)

Back to Top