Open Access
11 July 2018 Stochastics in extreme ultraviolet lithography: investigating the role of microscopic resist properties for metal-oxide-based resists
Author Affiliations +
Abstract
Due to the high energy of extreme ultraviolet (EUV) photons, stochastic effects become more important at a constant dose when compared with deep ultraviolet exposures. Photoresists are used to transfer information from the aerial image into physical features and play an important role in the transduction of these stochastic effects. Recently, metal-oxide-based nonchemically amplified resists (non-CARs) have attracted a lot of attention. We study how the properties of these non-CARs impact the local critical dimension uniformity (LCDU) of a regular contact hole array printed with EUV lithography using Monte Carlo simulations and an analytical model. We benchmark both the simulations and the analytical model to experimental data, and then use the flexibility of both methods to systematically investigate the role of microscopic resist properties in the final LCDU. It is found that metal-oxide clusters should be <1  nm in diameter, otherwise granularity will have a significant contribution to LCDU. When varying resist properties to change the resist dose-to-size, we find that the LCDU scaling with dose depends on how the resist is modified. After performing an overall sensitivity analysis to identify the optimum scaling of LCDU with dose, we find a scaling of dose  −  0.5 when the development threshold is modified, and a scaling of dose  −  0.33 when core radius or the quantum efficiency is changed.

1.

Introduction

In lithography, photoresist is an essential part of the total patterning infrastructure. Over the years, resist vendors have made tremendous progress in improving chemically amplified resists (CARs).13 These polymer-based resists have been the industry workhorse for many decades and different wavelengths: 248, 193, and 13.5 nm, respectively. In EUV, lithography stochastic effects driven by photon and chemical shot noise have become increasingly more important. Recently, another type of EUV resists has been promoted: organometallic or metal-oxide resists. These non-CARs are based on inorganic nanoparticles or clusters. In these resists, the absorptivity (absorption per length) is higher because strongly absorbing elements such as Hafnium or Tin are used.4,5 An advantage is the chemical uniformity of these materials as no quenchers and photoacid generators (PAGs) are needed for the operation of the resist.6 These materials might have a low blur7 and a high etch selectivity that makes them potentially applicable as patternable hard masks. Model-wise resists can be regarded in two perspectives: a microscopic perspective of the chemist, who tries to improve the resist using an optimal combination of materials and synthesis procedures. Alternatively, there is the macroscopic perspective of the lithographer, who is looking at the performance of a resist as a “black box” and is concerned with physical quantities such as feature size, dose-to-size, line width roughness, and local critical dimension uniformity (LCDU).

This paper describes empirical models for non-CARs starting from microscopic model properties, such as cluster core size and the number of clusters exposed per absorbed photons; which we define as the quantum efficiency (QE). The empirical models translate these microscopic parameters to macroscopic resist properties, such as resist blur and dose-to-size. These macroscopic properties are very relevant for resist performance as they critically impact what resolution may be achieved with the resist. We will investigate what role these parameters play in the stochastics observed in EUV lithography. For that, we will consider the simple use case of printing a regular contact hole array. The fundamental question of how photon shot noise and chemical noise translated to a variability in contact hole size (LCDU) is first studied with Monte Carlo simulations, which are calibrated to experimental data. To further explain these results, we also compare the experiment and simulations with an analytical model describing resist stochastics, which takes into account the intrinsic coupling between the macroscopic parameters of the resist. Finally, we will perform a sensitivity study to investigate how a resist composition might be optimized to reduce the impact of stochastics as much as possible. As the focus of this work is on resist properties, the aerial image properties are kept constant. The LCDU can of course be further improved by increasing optical contrast through pupil optimization and increasing the numerical aperture,810 but this is considered out of scope for this work.

2.

Model Description for Non-CAR

Different models exist, which describe the exposure of non-CARs in varying levels of detail.7,11,12 To describe the full chemical conversion process of non-CAR in detail is out of scope for this work. Instead, we have identified the most important processes in a simplified picture. We consider the non-CAR as being composed of a collection of spherical core–shell particles. In this picture, the core and shell represent the metal-oxide cluster and the surrounding ligands, respectively. The shell of ligands is assumed to be compressible, and is taken into account in the Monte Carlo model as a homogeneous polymer matrix (PMMA) surrounding the metal-oxide cores (Fig. 1). In this paper, we will use parameters of SnO2 for the metal-oxide and PMMA for the ligands. This approach allows us to describe the geometrical composition of the resist in terms of a few tangible microscopic parameters, such as the core radius (rcore) and the packing fraction of the particles (η), which is the fraction of volume of the resist occupied by the core–shell particles. Combining the geometrical composition with the core and shell material properties (atomic composition and mass density) then allows the direct calculation of the effective EUV absorptivity of the resist, which we denote with α.

Fig. 1

Cartoon of the 3-D Monte Carlo model for a non-CAR, showing a layer of polymer matrix (gray) filled with metal-oxide nanoclusters (yellow).

JM3_17_4_041003_f001.png

Absorbing photons is, however, only a small step in the entire resist exposure process. The absorption of an EUV photon leads to the excitation of a highly energetic primary electron. This primary electron then triggers a cascade of reactions, where a series of elastic and inelastic scattering events lead to the generation of multiple secondary electrons. These secondary electrons also propagate further into the resist, and transfer their kinetic energy to the excitation of resist molecules leading to the removal of ligands that surround the metal-oxo clusters. This then leads to a solubility switch of the clusters.

In our Monte Carlo simulations, this exposure process is modeled by starting out with a random distribution of nonoverlapping clusters [Fig. 2(a)]. The positions of photon absorption events are simulated by drawing from a probability distribution that is determined by the aerial image, the Lambert–Beer law13 and the spatial distribution of the metal-oxo clusters [Fig. 2(b)]. A number of clusters are selected from a volume defined by a sphere with radius dmax around the original photon absorption site. The amount of clusters selected is taken equal to the QE. The selected clusters are set to be counted as exposed clusters. In case these clusters had already been exposed by a previously absorbed photon, their state will not change if they are selected again [Fig. 2(c)]. Due to this approach, metal-oxo clusters at some distance away from the original absorption site are also exposed. Therefore, the spatial information of the aerial image (the spatial photon probability density distribution) is spread out when we consider the latent image (the spatial distribution of the exposed fraction of resist), and therefore the chemical contrast will be lower than the optical contrast. Effectively this process describes electron blur, similar to the electron and acid blur in CAR. A region of exposed clusters is considered to be insoluble in the developer provided a threshold is exceeded [Fig. 2(d)]. Therefore, this process resembles a negative-tone development process. Note that in this paper we use the model for printing contact holes, meaning that a bright-field mask is used.

Fig. 2

Representation (not to scale) of conversion steps in Monte Carlo model. (a) Initially all clusters are soluble (orange). (b) Photons (red dots) are absorbed inside clusters. (c) Subsequently, this cluster randomly selects QE neighboring clusters to form bonds with and a small aggregate is formed (brown). (d) After development only the exposed aggregate remains.

JM3_17_4_041003_f002.png

In our analytical model, we do not consider individual absorption events, but instead try to capture the exposure process in a continuum model. Given a cluster core size rcore, shell thickness tshell, and packing fraction η, we calculate the average number density of the resist

Eq. (1)

Ndens=η43π(rcore+tshell)3.

We set the electron blur length equal to the mean expected end-to-end distance for a three-dimensional (3-D) random walk.14 This distance depends on two parameters. The first parameter is the number of steps of the random walk, which we take to be equal to the QE of the resist. The second parameter is the mean step size, which we assume is equal to the mean interparticle spacing of the resist, d. This mean interparticle spacing can be determined from the average number density: d=1/Ndens1/3. Therefore, our model equation for electron blur is as follows:

Eq. (2)

σblur=dNsteps=QENdens1/3.

Using Dill kinetics15 and assuming that the resist exhibits no bleaching (A=0), then the dose-to-clear (or dose to gel for a negative-tone resist) can be written as follows:16

Eq. (3)

E0=ln(1t)C·exp(B·L),
where t is the resist development threshold (between 0 and 1), Dill parameter B is equal to the effective resist absorptivity α, as A=0. L is the film thickness and Dill parameter C the exposure rate constant, which can be written as follows:13,16

Eq. (4)

C=QE·Bhν·Ndens.

Here, we have modified the usual equation for the exposure rate constant in terms of a CAR (with the absorption cross section of the sensitizer) to describe a non-CAR (with the cluster density and absorptivity). Filling in Eq. (4) into Eq. (3) gives

Eq. (5)

E0=ln(1t)hν·NdensQE·B·exp(B·L).

With Eqs. (2) and (5), we now have direct expressions for the relevant macroscopic resist parameters that only depend on clearly defined microscopic parameters. Most of these parameters relate to the geometrical composition of the non-CAR. Two of the parameters such as QE and t refer to the chemical properties of the resist and the subsequent development step, respectively. For simplicity, the resist exposure and development steps are not treated separately in the following.

3.

Monte Carlo Model Description

Figure 3 shows how the Monte Carlo model can be used to study LCDU in contact holes. First, a lithography simulation17 creates a relevant two-dimensional (2-D) aerial image [Fig. 3(a)]. This aerial image is the spatial probability distribution of the incoming photons in the Monte Carlo simulation. After exposure, the 3-D simulation volume has a distribution of aggregated nanoclusters; a 2-D cross section of a slice of 2-nm thick is shown in Fig. 3(b). To determine the CD of the exposed feature, a 2-D density map is calculated from the 3-D simulation volume with aggregated clusters by averaging over the full resist thickness along the z-direction [Fig. 3(c)]. Finally, the CD is determined by setting a development threshold; the edge points at which the density crosses the threshold value is selected [Fig. 3(d)] and an ellipse is fitted through the edge points. The CD is obtained by extracting the circular diameter of equal area from the ellipse, similarly as done in typical CD SEM metrology. The CD and LCDU values reported in this paper are based on 100 separate simulations with the same conditions.

Fig. 3

Determination of CD and LCDU for contact holes using the 3-D Monte Carlo model, showing (a) 2-D slice through the aerial image at best focus position, obtained from a lithography simulation. Bright and dark regions represent high and low photon density, respectively. (b) 2-D slice of cluster aggregates after exposure, individual exposed clusters are indicated by the blue circles. (c) Aggregated-cluster density map, and (d) ellipse fitted through edge points in density map.

JM3_17_4_041003_f003.png

4.

Benchmarking with Experiments

To benchmark the model with experiments, the microscopic parameters of the resist need to be defined. These can be derived from experimentally observed macroscopic parameters. Based on the reported Dill B of 20/μm4,18 and Dill C of 0.16  cm2/mJ for a metal-oxide-based resist,18 a core diameter of 0.7 nm, shell thickness of 0.15 nm, and a core packing fraction of 0.35 were found when bulk absorptivity’s of tin oxide (63/μm) and the organic matrix (5/μm, the value for PMMA) were used. To match the experimentally observed Dill C and the dose-to-clear (19  mJ/cm2),18 we calculated that one photon can initially aggregate up to eight metal-oxide clusters (QE=8). Table 1 summarizes the microscopic parameters that match the experimental data, and the ranges we have considered in the following sections of this paper.

Table 1

List of microscopic resist parameters and their typical values for the Monte Carlo model.

Microscopic parameterMatching experimental dataRanges considered
Inorganic core diameter0.7 nm0.5 to 2 nm
Shell thickness0.15 nm0 to 0.5 nm
QE81 to 20
Core packing fraction0.350.3 to 0.65
Development threshold0.60.1 to 0.99
dmax2.5 nm1.5 to 10 nm

Figure 4(a) shows CD through dose for a 30-nm half pitch (HP) square array of contact holes; it compares experimental data (black dots) with the Monte Carlo model (red crosses). The development threshold is used as a fitting parameter to match the printed CD at a dose-to-size of 67.5  mJ/cm2. This development threshold is chosen to be fixed for all Monte Carlo simulations. The CD in Fig. 4(a) reduces with dose, which is expected for contact holes printed in negative-tone resist. The Monte Carlo model shows good agreement in CD with the experimental data over the entire dose range. This may seem surprising at first sight as the metal-oxide resist tends to shrink,11 and this effect is not taken into account in the Monte Carlo model. As the resist lines are all interconnected for contact holes, we expect shrink mostly in the vertical direction. Therefore, we do not expect to see shrink back in the experimental data.

Fig. 4

(a) Experimental (black dots) CD versus scanner dose for a 30-nm HP dense square array of contact holes compared with Monte Carlo modeled (red crosses) data, (b) experimental (black dots) resist LCDU (3σ) versus 1/NILS for a dense square array of contact holes through pitch (40 to 80 nm) compared with Monte Carlo results integrated over the full resist height (red triangles) and the top 8 nm (red crosses).

JM3_17_4_041003_f004.png

Figure 4(b) compares the Monte Carlo model (red triangles) results with experimental LCDU versus 1/NILS (normalized image log slope9) data (black dots). Each experimentally observed LCDU value is based on 81 different contact hole measurements, which gives about 8% statistical error on the LCDU value.19 The observed LCDU was decomposed into resist, mask, and SEM contributions using an ANOVA approach. Here, we only show the resist contribution to the experimentally observed LCDU. Both the experimental and modeled LCDU show a linear trend with 1/NILS, which has been observed before.9,20 However, the Monte Carlo modeled LCDU taking into account the full resist film thickness is consistently lower than the experimental values. One step that is not included in this analysis is the role of SEM metrology for these dense metal-oxide resists. To estimate the depth of the resist layer that is probed experimentally by the SEM, we modeled the number of secondary electrons generated per primary electron within a resist layer as a function of depth (Fig. 5). This is done for primary electrons with an energy 300 eV, which corresponds to the experimentally used SEM voltage. The simulator is described in detail in Ref. 21. Over 90% of the detected secondary electrons are generated in the top 8 nm of the resist layer. Therefore, in the SEM measurement the majority of the detected electrons has only interacted with the top 8 nm of the resist layer and has not been averaged over the full resist thickness.

Fig. 5

Simulated probability distribution of generating secondary electrons per primary electron versus resist depth for incident energy of 300 eV for a PMMA-based resist.

JM3_17_4_041003_f005.png

By taking into account only the top 8 nm of the resist film thickness to determine the LCDU from simulations, good agreement is found between experimental and simulated LCDU versus 1/NILS curves [red crosses in Fig. 4(b)]. Limiting the interaction volume like this is still a crude approximation to the full physical process of SEM image formation, and this is a topic of further study. However, with this approximation the predicted LCDU values match experiments for a wide range of varying normalized image log slope values. It should be noted that no further fitting parameters have been used to achieve this matching. The resist blur follows directly from the QE, resist number density, and the maximum interaction length dmax. The development threshold was determined from the dose-to-size. Now that we have achieved this matching, we will use the Monte Carlo model to investigate different resist compositions.

5.

Simulating the Effect of Changing Microscopic Resist Parameters on LCDU

With the experimentally verified model, we can now vary resist parameters to see the effect on the simulated LCDU. The goal of this work is to use the simulator as a guide for optimizing resists. One of the concerns of CAR apart from low EUV absorptivity is their chemical noise due to a limited PAG density. Chemical noise in inorganic resist may come from granularity: at some point the particle size itself will contribute to the resist LCDU. We simulated the performance of several resists that have different particle sizes. To study only the effect of changing the resist size, other critical parameters, such as the optical contrast, the average CD, and the dose-to-size of the simulations were kept fixed. Large particles typically require a lower dose. To keep the resist sensitivity the same for all particle sizes, the QE was, therefore, lowered with increasing particle size. The results are shown in Fig. 6. It should be noted here that the optical contrast was not optimized.

Fig. 6

Simulated-resist LCDU (3σ) of 20-nm HP-dense contact holes plotted as a function of cluster diameter. All resists were simulated with constant imaging conditions, the same dose (20  mJ/cm2), and the same printing CD (24 nm).

JM3_17_4_041003_f006.png

Figure 6 shows the resist LCDU (3σ) of 20-nm HP dense contact holes plotted as a function of cluster diameter. All these resists were designed to have the same dose-to-size (20  mJ/cm2), optical contrast, and printing CD in the Monte Carlo simulations, yet the LCDU is not constant. An increase in LCDU is observed for increasing particle size. We recognize the granularity of the resist: at some size the particles are so large that they start to contribute to the roughness of the edge of the contact holes, resulting in an increased LCDU. Reducing particle diameter to 1 nm or below is, therefore, recommended to limit granularity-induced LCDU.

By changing microscopic parameters, the resist sensitivity can be influenced. For instance, a smaller particle size and lower QE will both lead to a decreased resist sensitivity. Figure 7 shows the LCDU versus dose-to-size for contact holes with HP=20  nm; every data point (red dots) represents a different resist system. In these simulations, both the core radius and the QE were varied. Clearly, a lower LCDU is observed when the dose-to-size of the resist is increased. However, it is interesting to observe that the power law that best fitted these simulated resist systems had an exponent of dose0.33 and not dose0.5, which would be expected if the result is determined by only photon shot noise. In the next section, we will explain this difference in scaling. To make sure that we only capture the influence of the resist in these simulations, the optical contrast, the resist Dill B value, and the target CD were kept constant. Also shown in the figure is the result of our analytical model that will be introduced in the next section.

Fig. 7

Simulated resist LCDU (3σ) of 20-nm HP-dense contact holes versus dose-to-size (red dots). The resist sensitivity was varied by changing the core radius and QE in simulation. The resist absorptivity and CD were kept constant at 20/μm and 24 nm, respectively. The dashed red line is a fit to the simulated data. The dashed black line is the best fit with a fixed exponential of 0.5. The blue line is the result of our analytical model.

JM3_17_4_041003_f007.png

Using the Monte Carlo simulation tool, we also investigated the impact of having a variable QE. In our simulation, this meant that instead of selecting always a fixed amount of clusters within the interaction volume, we let this number be Poisson distributed. We found that a variable QE only increased LCDU by a negligible amount. The reason for this is that the realized QE is averaged over many photon absorption events during exposure, and the dose sensitivity is dependent on the exposed fraction; if a photon is absorbed in a region that has a relatively low exposed fraction, then the realized QE will be higher. This process effectively acts as a feedback mechanism reducing the impact of a variable QE.

6.

Capturing LCDU Scaling in an Analytical Model

The Monte Carlo model as introduced in the previous sections is very useful to quantify how different resist compositions might affect LCDU. However, the approach lacks an intuitive explanation. To provide more insight, we modified an existing analytical model that described LCDU for CAR22,23 to also apply to the non-CAR resist platform. The core of this analytical LCDU model lies in counting the number of absorbed photons16,24 in a relevant volume based on the feature edge and the resist blur. For Poisson-based statistics, the normalized dose noise scales with the square root of the mean; therefore, the LCDU scales as follows:

Eq. (6)

LCDU[3σ,nm]=31Nphotons1ILSblurred.

The number of absorbed photons counted pertains to photons absorbed close to the edge of the feature, as uncertainty in edge position causes local CD variations. The blurred ILS is the optical contrast that translates the dose variations in local CD variations. Writing out the number of absorbed photons Nphotons for contact hole features results in

Eq. (7)

LCDUCH[3σ,nm]=3hνE0·f·Pabs·2πσblurCD1ILSblurred.

In this equation, hν corresponds to the EUV photon energy, E0 is the resist dose-to-clear, f is the resist photon efficiency, Pabs=1exp(B·L) is the absorption probability, σblur is the resist blur, and CD is the critical dimension.24 Equation 7 contains macroscopic parameters, such as dose-to-clear and blur. However, as we have seen in the above, these resist parameters depend on several microscopic parameters and are therefore coupled. In the following, we will investigate the effect of this parameter coupling.

The equation for blur [Eq. (2)] has a reversed scaling with QE and Ndens compared with the equation for the dose-to-clear [Eq. (5)]. Therefore, by increasing the QE, blur increases while the dose-to-clear decreases. Conversely, if the Ndens increases (smaller particles) the blur decreases and the dose-to-clear increases. This shows that based on the microscopic properties, blur and dose-to-clear are coupled.

To estimate how this coupling impacts LCDU, we look at the dominant scaling behavior of Eq. (7). When we neglect the contribution of tshell to the number density, then Ndens is proportional to rcore3. Substituting this in Eq. (2) results in a proportional scaling between blur and core size: σblurrcore. Using the same approximation of Ndens for Eq. (5), we find that E0rcore3. If we then substitute σblur and E0 in Eq. (7), we find

Eq. (8)

LCDU1E0·σblurE01/3.

This scaling is fundamentally different from the often reported dose0.5 scaling and becomes apparent when certain resist properties (such as cluster size or QE) are changed to modify the resist sensitivity. It must be noted that the resist blur also affects the translation from the optical contrast (ILS) in the aerial image to the latent image, so that changing the blur will also change the ILSblurred term. As indicated above, many assumptions have been made during the derivation of Eq. (8) such as the random walk and ignoring the shell thickness. It is also assumed that all the microscopic parameters can be changed independently. Still, this provides the valuable insight how microscopic parameters influence multiple macroscopic parameters, and how they together contribute to LCDU. In the next section, we will address the validation of this model.

7.

Validation of the Analytical Model

Following the approach of the Monte Carlo model, we also benchmarked the analytical model against experimental data. In this calibration, the same microscopic resist parameters are used as in the Monte Carlo simulation (see table 1). As before, the development threshold is the only free parameter. The calculated macroscopic resist parameters match the experimental absorptivity and dose-to-clear, and give a blur of σblur=3.35  nm. To match the experimental dose-to-clear, a development threshold of t=0.51 was required. Figure 8 shows the comparison between experiment, Monte Carlo simulation, and analytical model for dense 30-nm HP contact holes.

Fig. 8

(a) CD versus scanner dose and (b) Resist LCDU (3σ) as function of scanner dose for a 30-nm half-pitch square array of contact holes. Here, the experimental results (black dots) are compared with Monte Carlo simulations (red dots) and the outcome of the analytical model (blue line).

JM3_17_4_041003_f008.png

As can be seen in Fig. 8, the agreement of the analytical model with the experimental data and the Monte Carlo model is very good, especially when considering the fact that no fitting parameters have been used. The development threshold was tuned to match the experimental dose-to-clear, and this in turn also determined the dose-to-size for this aerial image. Therefore, there were no more free parameters in this calculation. Figure 8(b) shows experimentally measured LCDU (black dots), the simulated LCDU (red dots), and the calculated LCDU using the analytical model (blue). As was done for the Monte Carlo simulations, also here only the top 8 nm of the resist was taken into account. For the analytical model, this reduces the total number of photons that are relevant for the observed variability. The limited interaction volume was implemented by modifying the photon efficiency f=8  nmL=0.4 in Eq. (7). Note that in this figure the imaging conditions and resist properties were kept fixed, such that the dose-to-size was constant, only the scanner dose is varied.

Another way of cross checking the analytical microscopic LCDU model is by not varying the scanner dose but by instead varying the resist sensitivity, as was done before with the Monte Carlo simulations. In Fig. 7, we directly compare the simulated result (red dots) with the analytical model (blue line). The curve from the analytical model was obtained by varying the resist core radius between 0.25 and 1.0 nm, which caused the dose-to-size to also change. Although there is not a perfect match, the differences between the analytical microscopic LCDU model and the Monte Carlo simulations are small. Interestingly, both the Monte Carlo and the analytical microscopic LCDU models indicate that when changing the resist core radius, the LCDU does not scale as dose0.5, but instead a scaling of dose0.33 is found. The dose scaling dose0.5 originates from photon shot noise considerations as given in Eq. (6). In the previous section, we have derived a scaling equation LCDUE01/3 when the core radius is varying, which agrees well with the scaling observed for both the Monte Carlo simulations and the analytical model.

Although in Fig. 7, we have only varied the core size to modify the resist sensitivity, in principle any microscopic resist parameter can be varied with the analytical model. Here, we assume that microscopic parameters can be tuned independently, which in practice is certainly not the case. For instance, changing the shell thickness using smaller ligands will probably also affect the reactivity of the ligands and therefore the QE. To explore which microscopic parameters are most efficient in reducing the LCDU, a sensitivity analysis is performed for a number of microscopic resist parameters: core radius, QE, shell thickness, and development threshold. The starting point was taken to be: rcore=0.47  nm, tshell=0.15  nm, QE=8, and t=0.60, with the ranges considered as in Table 1. At the same time, the mask, illumination conditions, and target CD are considered to be fixed. The results are shown in Fig. 9. In general, the LCDU decreases with an increase in the dose-to-size; however, the scaling relation is very different for the different parameters. These scaling relations may be used to consider, which parameters are best adjusted. For instance, varying the core radius is the most favorable parameter to obtain the lowest LCDU at a given dose for doses below 60  mJ/cm2. When changing the resist QE, the LCDU scales with dose in a very similar manner. Changing the shell thickness, however, leads to a very unfavorable scaling relationship: the LCDU now increases much more rapidly when increasing the resist sensitivity. The reason for this is that the absorptivity of the core (60/μm) is 12 times higher than that of the shell (5/μm). The total amount of absorbed photons will, therefore, be much higher for the same cluster number density if the core is scaled versus when the shell is scaled. When the development threshold is used as a scaling parameter, an exact dose0.5 scaling is found. The reason is that variations in the development threshold impact the E0 of the model but does not change the blur. Therefore, adjusting the threshold leads to the most optimal scaling for high dose resists.

Fig. 9

LCDU versus dose-to-size, obtained by doing a sensitivity analysis of microscopic parameters (core radius, QE, shell thickness, and development threshold) using the analytical model.

JM3_17_4_041003_f009.png

8.

Conclusions

The variability of printing features with metal-oxide-based resists was analyzed using two different approaches: with Monte Carlo simulations and with an analytical model. Both were validated by comparing with experimental data. The experimentally observed LCDU versus 1/NILS relation can be matched with the Monte Carlo simulations when the role of SEM metrology is taken into account. Our analysis shows that only the top 8 nm of the resist contributes to the LCDU measurement. It is shown that resist granularity dominates LCDU for a cluster diameter >1  nm. Microscopic parameters such as core size and QE influence multiple macroscopic parameters (resist blur and dose-to-clear). Both the Monte Carlo simulations and the analytical model confirm that this leads to a LCDU versus dose scaling of dose0.33 when core size or QE are changed, instead of dose0.5 scaling, which is observed when changing development threshold. Apart from resist properties, LCDU can be further reduced by increasing optical contrast by optimizing source and mask, and increasing the scanner numerical aperture.

References

1. 

J. Thackeray et al., “Progress in resolution, sensitivity, and critical dimensional uniformity of EUV chemically amplified resists,” Proc. SPIE, 8682 868213 (2013). https://doi.org/10.1117/12.2011565 PSISDG 0277-786X Google Scholar

2. 

M. Shiratani et al., “Novel EUV resist materials for 16 nm half pitch and EUV resist defects,” Proc. SPIE, 9048 90481D (2014). https://doi.org/10.1117/12.2046133 PSISDG 0277-786X Google Scholar

3. 

H. Tsubaki et al., “Negative-tone imaging with EUV exposure toward 13 nm hp,” J. Photopolym. Sci. Technol., 29 (3), 479 –487 (2016). https://doi.org/10.2494/photopolymer.29.479 JSTEEW 0914-9244 Google Scholar

4. 

A. Grenville et al., “Integrated fab process for metal oxide EUV photoresist,” Proc. SPIE, 9425 94250S (2015). https://doi.org/10.1117/12.2086006 PSISDG 0277-786X Google Scholar

5. 

M. Trikeriotis et al., “Nanoparticle photoresists from HfO2 and ZrO2 for EUV patterning,” J. Photopolym. Sci. Technol., 25 (5), 583 –586 (2012). https://doi.org/10.2494/photopolymer.25.583 JSTEEW 0914-9244 Google Scholar

6. 

A. Lio, “EUV resists: what’s next?,” Proc. SPIE, 9776 97760V (2016). https://doi.org/10.1117/12.2225017 PSISDG 0277-786X Google Scholar

7. 

A. V. Pret et al., “Modeling and simulation of low-energy electron scattering in organic and inorganic EUV photoresists,” Proc. SPIE, 10146 1014609 (2017). https://doi.org/10.1117/12.2261434 PSISDG 0277-786X Google Scholar

8. 

E. van Setten et al., “Imaging performance and challenges of 10 nm and 7 nm logic nodes with 0.33 NA EUV,” Proc. SPIE, 9231 923108 (2013). https://doi.org/10.1117/12.2065945 PSISDG 0277-786X Google Scholar

9. 

J. Finders et al., “Contrast optimization for 0.33 NA EUV lithography,” Proc. SPIE, 9776 97761P (2016). https://doi.org/10.1117/12.2220036 PSISDG 0277-786X Google Scholar

10. 

J. van Schoot et al., “High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (4), 041010 (2017). https://doi.org/10.1117/1.JMM.16.4.041010 Google Scholar

11. 

A. Lyons et al., “Compact 2D OPC modeling of a metal oxide EUV resist for a 7 nm node BEOL layer,” Proc. SPIE, 10143 101431E (2017). https://doi.org/10.1117/12.2260441 PSISDG 0277-786X Google Scholar

12. 

W. D. Hinsberg et al., “A numeric model for the imaging mechanism of metal oxide EUV resists,” Proc. SPIE, 10146 1014604 (2017). https://doi.org/10.1117/12.2260265 PSISDG 0277-786X Google Scholar

13. 

C. A. Mack, Fundamental Principles of Optical Lithography, Wiley, Chichester, West Sussex (2007). Google Scholar

14. 

S. Jabbari-Farouji, “Static scaling behavior of a crystallizable polymer melt model,” (2017). Google Scholar

15. 

F. H. Dill et al., “Characterization of positive photoresist,” IEEE Trans. Electron Devices, 22 445 –452 (1975). https://doi.org/10.1109/T-ED.1975.18159 IETDAI 0018-9383 Google Scholar

16. 

C. A. Mack et al., “Stochastic exposure kinetics of extreme ultraviolet photoresists: simulation study,” J. Micro/Nanolithogr. MEMS MOEMS, 10 (3), 033019 (2011). https://doi.org/10.1117/1.3631753 Google Scholar

17. 

Hyperlith 7.00, “Panoramic Technology,” www.panoramictech.com Google Scholar

18. 

R. Fallica et al., “Dynamic absorption coefficients of CAR and non-CAR resists at EUV,” Proc. SPIE, 9776 977612 (2016). https://doi.org/10.1117/12.2219193 PSISDG 0277-786X Google Scholar

19. 

S. Ahn et al., Standard Errors of Mean, Variance, and Standard Deviation Estimators, EECS Department, The University of Michigan, Ann Arbor, Michigan (2003). Google Scholar

20. 

S. Park et al., “Extension of practical k1 limit in EUV lithography,” Proc. SPIE, 9776 97761Q (2016). https://doi.org/10.1117/12.2219546 PSISDG 0277-786X Google Scholar

21. 

T. Verduin, “Quantum noise effects in e-beam lithography and metrology,” TU Delft, (2017). Google Scholar

22. 

G. M. Gallatin, “Resist blur and line edge roughness,” Proc. SPIE, 5754 38 –53 (2004). https://doi.org/10.1117/12.607233 PSISDG 0277-786X Google Scholar

23. 

O. Yildirim et al., “Improvements in resist performance towards EUV HVM,” Proc. SPIE, 10143 101430Q (2017). https://doi.org/10.1117/12.2257415 PSISDG 0277-786X Google Scholar

24. 

J. J. Biafore et al., “Statistical simulation of photoresists at EUV and ArF,” Proc. SPIE, 7273 727343 (2009). https://doi.org/10.1117/12.813551 PSISDG 0277-786X Google Scholar

Biography

Ruben Maas studied physics at Utrecht University and received his PhD in nanophotonics from the University of Amsterdam in 2015, working on the fabrication and characterization of optical metamaterials. Since then, he has joined ASML and worked on stochastics in photolithography and SEM metrology.

M.-Claire van Lare studied nanomaterials, chemistry, and physics at Utrecht University and received her PhD in nanophotonics from the University of Amsterdam in 2014. After this, she joined ASML and worked on modeling of stochastics and imaging. Since 2018, she has been working as a project leader on stochastics, imaging, and process modeling.

Gijsbert Rispens received his MS and PhD degrees in physical chemistry from the University of Groningen in 2005 and 2010, respectively. After a postdoc at the University of Geneva, he joined ASML in 2013, where he is working as an architect involved in topics related to EUV resist and stochastic modeling

Sander F. Wuister received his PhD in chemistry from Utrecht University in 2005, where he studied the optical properties of quantum dots. In 2005, he joined Philips Research as a senior scientist. His research interests were in alternative lithography techniques, such as imprint lithography and DSA. In 2011, he started at ASML research as a principal researcher process-related topics, such as EUV resist and DSA. Since 2018, he manages a group on SEM and process modeling.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Ruben Maas, M.-Claire van Lare, Gijsbert Rispens, and Sander F. Wuister "Stochastics in extreme ultraviolet lithography: investigating the role of microscopic resist properties for metal-oxide-based resists," Journal of Micro/Nanolithography, MEMS, and MOEMS 17(4), 041003 (11 July 2018). https://doi.org/10.1117/1.JMM.17.4.041003
Received: 26 January 2018; Accepted: 11 June 2018; Published: 11 July 2018
Lens.org Logo
CITATIONS
Cited by 17 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Monte Carlo methods

Quantum efficiency

Photons

Stochastic processes

Data modeling

Extreme ultraviolet lithography

Particles

Back to Top