Open Access
11 August 2018 Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?
Author Affiliations +
Abstract
The understanding, characterization, and mitigation of three-dimensional (3-D) mask effects including telecentricity errors, contrast fading, and best focus shifts become increasingly important for the performance optimization of future extreme ultraviolet (EUV) projection systems and mask designs. We explore the potential of attenuated phase shift mask (attPSM) to mitigate 3-D mask effects and exploit them for future EUV imaging. The scattering of light at the absorber edges results in significant phase deformations, which impact the effective phase and the lithographic performance of attPSM for EUV. Rigorous mask and imaging simulations in combination with multiobjective optimization techniques are employed to identify the most appropriate material properties, mask, and source geometries. The resulting imaging performance is compared to the achievable performance of binary EUV masks.

1.

Introduction

The understanding, characterization, and mitigation of three-dimensional (3-D) mask effects including telecentricity errors (TCEs), contrast fading, and best focus (BF) shifts become increasingly important for the performance optimization of future extreme ultraviolet (EUV) projection systems and mask designs.14 One approach to mitigate the 3-D mask effects is to employ alternative absorber materials. Figure 1(a) shows the possible material options versus the range of refractive indices n and extinction coefficients for a wavelength of 13.5 nm. Metal absorbers with a high extinction coefficient k, such as nickel (Ni), provide sufficiently low reflectivity for about 30-nm-thick absorbers and show less pronounced 3-D mask effects.5 However, most of the high-absorption materials are difficult to pattern and repair with currently available techniques. Materials with a refractive index n close to 1.0 reduce the deformation of the phase of the reflected light and BF shifts between different mask patterns. However, most of these n1.0 materials have only a small extinction and do not provide sufficient contrast at a small thickness. Thin absorber materials from the lower left region of the nk-space generate an increasing amount of reflected light from the nominally dark regions of the mask. Appropriate combinations of materials and thickness values provide a phase shift in the vicinity of 180 deg and are candidates for phase shifter materials for EUV.

Fig. 1

Material options for EUV absorbers. (a) Plot of materials from the CXRO database6 (small blue dots) versus refractive index n and extinction coefficient k (nk-space). (b) nk-space with materials, which are considered in this work. TaBN represents a state-of-the-art absorber material.7 Ni is a typical high-k metal absorber example, which has been investigated in previous studies.5,8,9 AttPSM1 stands for an “ideal” attenuated phase shifter material, which was designed based on standard thin-film considerations [see Eq. (1)]. The lines indicate alloys between ruthenium (Ru) and rhenium (Re), tellurium (Te), tantalum (Ta), tungsten (W), respectively. The open circles present a list of materials, which was compiled by IMEC (listVu).

JM3_18_1_011005_f001.png

Standard thin-film considerations suggest combinations of refractive index n, extinction k, and absorber thickness

Eq. (1)

n=1λ×cos(CRA)4×thickness,k=log(0.06)×λ×cos(CRA)8π×thickness,
to achieve a phase shift of 180 deg and reflectivity of 6% with respect to the reflected light from the multilayer blank without absorber. CRA stands for the chief ray angle which is 6 deg for present NA=0.33 systems. A 31.6-nm-thick absorber stack with n=0.8938 and k=0.0476 fulfills Eq. (1) and was used as reference attPSM stack in this paper. The material data of this reference stack are reasonably close to platinum and gold.

The first proposal to use attenuated phase shift masks in EUV lithography was already made in 1993 by Nguyen, who observed sidelobes “similar to that for attenuated phase shifted mask” in simulated images of a 60-nm-thick carbon absorber. He proposed to employ this effect to sharpen line edges.10 Soon after that Obert Wood et al. from Lucent reported the first experimental realization of attPSM for EUV including extensive simulation studies.11 Since then, many different forms of attPSM for EUV including etched multilayer configurations 1214 and various absorber stack configurations 1517 were proposed and investigated.

More recent studies mention potential problems in the application of attPSM for EUV including the variation of the BF position with absorber thickness18 and the contrast loss for the combination of attPSM and off-axis illumination.19 Application of our standard screening methodology for binary masks to typical attPSM configurations predicted a relative poor performance of the attPSM as well.20

The scattering of light at the absorber edges results in significant phase deformations, which impact the lithographic performance of attPSM. The phase deformation depends on the mask materials/geometry and on the illumination direction—see Fig. 2. This figure shows the intensity (or reflectivity, upper row) and phase (lower row) of the reflected light for different model options and incidence directions of the EUV light. The data for the solid red lines are simulated by a rigorous model, which considers the 3-D geometry and material properties of the mask and the given incidence angles. The dashed green lines represent an ideal performance according to the Kirchhoff or thin-mask model, which neglects the 3-D properties of the mask. Phase jumps of 360 deg of the rigorously simulated data in the lower row of Fig. 2 result from the limitation of the plotting range of the phase and do not impact the image formation. In contrast to the idealized Kirchhoff model, which postulates a phase jump of 180 deg at the absorber edges, rigorous simulations exhibit a more or less continuous transition of the phase from the bright to the dark areas of the mask. The shape of this transition and the resulting phase deformation depend on the incidence angle of the EUV light on the mask. Because of the observed phase deformation and due to the contrast loss for off-axis illumination, a good lithographic performance of attPSM requires a comprehensive optimization of the mask and the illumination source.

Fig. 2

Simulated near-field reflectivity and phase for line and space patterns of an attPSM-style absorber (31.6-nm thick with n=0.8938, k=0.0476, and wavelength λ=13.5  nm) on a standard multilayer stack, 14-nm lines with a pitch of 32 nm, and angle of incidence: 2 deg, 6 deg, and 10 deg. The green dashed lines represent the target value of ideal attPSM with a reflectivity of 6% and a phase shift of 180 deg.

JM3_18_1_011005_f002.png

The recent findings and observations raise several questions: Which process improvements can attPSM offer versus binary EUV masks? Can they mitigate 3-D mask effects? How to design and use attPSM for EUV? Which are the most appropriate combinations of refractive index, extinction, and thickness of the mask absorber and of the illumination geometry? How to evaluate and compare such alternative absorbers and on the basis of which criteria? The answer to these questions depends on the investigated use case and considered lithographic metrics. Such metrics include the normalized-image log slope (NILS or local contrast), the depth of focus (DoF), the BF variation versus pitch, the TCE, and the threshold-to-size (as a measure of the dose sensitivity). Manufacturable illumination shapes should also have a reasonable source filling (sfil). Side-lobe printing presents another important metric for the evaluation of attPSM in design-relevant layouts, however, was not considered in this study. In view of the multitude of lithographic metrics, we should rephrase the raised question on the “best absorber material”: Which absorber material provides the best compromise between different lithography metrics? The goal of this paper is not to provide ultimate solutions, but to predict general tendencies, to demonstrate the capabilities of the used methodology, and to discuss the pros and cons of attPSM absorbers for EUV lithography.

This paper employs rigorous mask and imaging simulations in combination with multiobjective optimization techniques to identify the most appropriate material properties, mask, and source geometries and to explore the potential of attPSMs for EUV imaging. The considered material options are shown in Fig. 1(b). They include three absorbers with a fixed thickness and several configurations, where the absorber thickness was varied in the optimizations. The fixed thickness stacks are TaBN, Ni, and attPSM1. TaBN is included as a representative of presently used tantalum-based absorber stacks (56-nm TaBN absorber + 14-nm TaBO antireflective coating).7 Ni provides an example of a high-k absorber material, which was identified in our previous investigations.5 Finally, attPSM1 is an attenuated absorber stack that is obtained from the classical thin-film consideration according to Eq. (1). Ruthenium (Ru) provides a high refractive index contrast to vacuum and is compatible with the present mask technology. Alloying Ru with higher extinction materials such as rhenium (Re), tellurium (Te), tantalum (Ta), and tungsten (W) offers a wide range of accessible refractive indices and extinction coefficients. Our simulations assume that the refractive index depends linearly on the volumetric composition of the materials in the alloy. All combinations of n and k on the connection lines between the materials in Fig. 1 are included in the search space for the variable thickness absorbers. Additionally, a list of candidate materials was compiled by IMEC from literature data (listVu). The n and k values of these materials are shown by the open circles in Fig. 1(b). The defined search spaces include several high k materials: the fixed thickness Ni-stack, two of the materials from the material list (listVu), and RuTe alloys with a high amount of Te.

Section 2 provides a brief introduction to the used multiobjective optimization techniques. Typical results for arrays of contact holes with individual pitches and for through-pitch spaces are presented and discussed in Sec. 3. This paper finishes with conclusions and an outlook on future research. This paper provides an extended version of a previous SPIE proceedings manuscript published at the SPIE Advanced Lithography Symposium 2018.21

2.

Optimization

As described in the previous section, the mask and source settings have to be optimized for several objectives including DoF, NILS, TCEs, source filling (sfil), and/or threshold-to-size. Additional criteria such as mask error enhancement factor, bias between horizontal and vertical feature, or the sensitivity of the results to variation of the absorber thickness could be included in the optimization as well. However, from a practical point of view, it is preferable to limit the number of objectives in the optimization to three or four and to evaluate these additional criteria in a postprocessing of the data.

In contrast to a single-objective optimization problem, which maximizes or minimizes the scalar value of the objective function f(x) versus the variable parameter settings x, multiobjective optimization tries to find a set of solutions that provide the best trade-off between competing objectives. The objective function of multiobjective optimization problems becomes a vector-valued function f(x)=[f1(x),f2(x),,fn(x)], where fi(x) stands for the individual scalar valued objectives, such as DoF, NILS, TCE, and sfil. The vector x represents the flexible parameters of the mask and source, respectively. The goodness or fitness of the solution yi=f(xi) for the parameter setting is determined by the dominance over other possible solutions yj=f(xj). A solution yi is not dominated by another solution yj, if it is not worse in all single objectives. All nondominated solutions create the Pareto front.

An example is given in the left of Fig. 3, where the blue dots show computed NILS and TCE values for different parameter settings of the mask and source, respectively. The goal is to find parameter settings with a large NILS and a small TCE. The points, which are marked with a red circle represent nondominated solutions and create the Pareto front. All points without red circle are dominated by the solutions on the Pareto front. They have a smaller NILS value and a larger TCE compared to at least one of the points on the Pareto front.

Fig. 3

Typical evaluation of a two-dimensional Pareto front for an optimization problem with the goal to find source and mask parameter combinations for large NILS and small TCE. Blue dots represent parameter combinations (illumination setting, mask) with their NILS and TCE values. Dots with red circles are identified as nondominated solutions after the given number of generations. Red dots exhibit the Pareto front from the previous generation.

JM3_18_1_011005_f003.png

Multiobjective optimization techniques aim at an approximation of the Pareto front for all possible parameter combinations. Evolutionary algorithms such as multiobjective genetic algorithms have proved to be well suited for such optimization problems with multiple objectives.22 In this work, the implementation of a multiobjective genetic algorithm in the Fraunhofer lithography simulator Dr. LiTHO is used.23 This algorithm propagates a specified number of parameter combinations or population through the search space with the goal to approach the Pareto front of the best solutions. Figure 3 shows a typical progress of such optimization run. In the first step, the NILS and TCE values are computed for a set of randomly initialized parameter settings. In the investigated example, a population size of 50 is used, that is 50 different parameter combinations. Only solutions with an NILS larger than 1.4 and a TCE smaller than 15 mrad are shown. The red circled solutions are not dominated by other solutions. They are stored in an archive. In the next step, selection, recombination, and mutation operators of the genetic algorithm are applied to the present population and generate a new population. The selection operators of the genetic algorithm consider both the fitness (NILS and TCE values) of the parameter combinations and their positions in parameter and solution spaces. Therefore, the new population moves toward larger NILS and smaller TCE values. The center part of Fig. 3 shows the resulting new population (blue points) and the archive of nondominated solutions from the previous step. The red circles flag the resulting nondominated solutions or new Pareto front. Only one solution from the Pareto front from the first generation is also a member of the new Pareto front. The data in the archive are updated with the solutions from the new Pareto front. This procedure is repeated until a certain stopping criterion, e.g., the number of generations or a given variance of solutions on the Pareto front, is reached.

The right part of Fig. 3 shows a good sampling of the Pareto after 100 generations. All solutions on the Pareto front represent parameter combinations to achieve a good compromise between NILS and TCE. The best NILS values are close to 1.8 but with a TCE of about 5 mrad. Solutions with a TCE below 2 mrad offer an NILS upto 1.65.

A typical example of a 3-D Pareto front is shown in Fig. 4. The DoF and NILS values are shown on the horizontal and vertical axes, whereas the TCE value is indicated by the color of the data points. The largest NILS and DoF values are only achieved for parameters settings, which come with a larger TCE. There is also a compromise between solutions with a high NILS in the upper left region of the 3-D Pareto front and high DoF in the center right of the graph.

Fig. 4

Example of a 3-D Pareto front, colors of the points represent the TCE in mrad.

JM3_18_1_011005_f004.png

Finally, interesting data points from the obtained Pareto front can be selected and evaluated in more detail. The selection of these data points depends on the problem. Several examples of Pareto fronts and selected solutions are given in the next section. For more details on multiobjective genetic algorithms, the reader is referred to Refs. 22 and 23.

3.

Results

The simulations in this paper are performed for a state-of-the-art EUV scanner with a wavelength 13.5 nm, a numerical aperture 0.33, and a chief ray angle of incidence of 6 deg. The parameters of the mask multilayer are taken from Ref. 24. The options for the mask absorber are summarized in Table 1. Three different use cases are investigated. These use cases and their illumination settings are discussed in the following three sections. All simulations are performed with the Fraunhofer lithography simulator Dr. LiTHO using a rigorous mask diffraction simulation without the Hopkins assumption, which postulates a shift invariance of the diffraction spectrum with respect to the illumination direction. The images are computed with a fully vector Abbe type imaging model. Lithographic metrics are extracted with a simple threshold model from the aerial image.

Table 1

Options for mask absorbers and material data. The refractive index ni and extinction values ki of Ru, Re, Ta, and Te are taken from the CXRO database.6 The real valued factor f between zero and one specifies the composition of the alloys. ListVu represents a list of 13 materials, which was compiled from the literature data. See Sec. 1 for graphical presentation and further discussion.

NamenkThickness
Ta70TaBN: 0.956; TaBO: 0.9357, see Refs. 7 and 24TaBN: 0.023; TaBO: 0.0425, see Refs. 7 and 2456-nm TaBN + 14-nm TaBO
Ni0.9480.07331.6 nm
AttPSM10.89380.047631.6 nm
Alloy RuRenRu+f(nRenRu)kRu+f(kRekRu)Variable
Alloy RuTanRu+f(nTanRu)kRu+f(kTakRu)Variable
Alloy RuTenRu+f(nTenRu)kRu+f(kTekRu)Variable
Alloy RuWnRu+f(nWnRu)kRu+f(kWkRu)Variable
listVuListListVariable

3.1.

Semidense Contact Arrays

AttPSMs are known to exhibit the largest benefit for isolated and semidense contacts. Therefore, a semidense array of 18-nm square contacts with a pitch of 72 nm is considered first. A variable and independent bias in x- and y-directions is allowed to maximize the overlapping process windows for cross sections along these directions. Quadrupole illumination with variable inner and outer σ and a variable opening angle is used. The optimization in this paper is restricted to symmetric or balanced illumination. Asymmetric poles and pixelated sources provide additional degrees of freedom for the optimization and to mitigate 3-D mask effects. For a Quadrupole illumination with infinitely small poles, the best σ, which specifies the illumination direction with respect to the optical axis, is given by

Eq. (2)

σ=λ2×NA×p,
where λ stands for the wavelength, NA for the numerical aperture of the system, and p is the pitch of the contact array. In practice, however, a more extended source has to be used. The achievable DoF and NILS depend on the distribution of illumination directions around the given theoretical value. The dependency of the mask diffraction on the illumination direction as described in Fig. 2 impacts the optimum source shape as well. In addition to the illumination, the mask absorber thickness and composition are varied as described in Table 1. The objectives for this first use case are large source filling (sfil), large DoF of overlapping process windows for x- and y-cuts, and large NILS values along the x- and y-directions. In general, the simulated contact array exhibit only small TCEs below 5 mrad. Solutions with TCE above 5 mrad are excluded from the solution space. Figure 5 shows simulated Pareto fronts for different material options of the mask absorber.

Fig. 5

Simulated Pareto fronts of different mask stacks for 18-nm semidense contacts with 72-nm pitch versus DoF (horizontal axis), NILS (vertical axis), and source filling (sfil, see color map). All data points show combinations of mask and source parameters as obtained from the optimization run.

JM3_18_1_011005_f005.png

For semidense contact arrays, the binary Ta70 and Ni stacks can only achieve a DoF of about 100 nm and an NILS slightly above 2. The Ni stack, which was identified as a good solution for spaces through pitch,5 performs even worse than the state-of-the-art tantalum-based stack Ta70. AttPSM1, which is designed by Eq. (1), achieves an NILS of about 2.5- and 150-nm DoF with acceptable source fillings of 0.2. All attPSMs with variable thickness provide a larger DoF of close to 300 nm and NILS values up to 2.6. For these mask absorbers, no trade-off between DoF and NILS has to be made. The achievable NILS and DoF performance is only limited by the source filling.

Process windows of selected solutions from the Pareto front for the different absorber options are shown in Fig. 6. For each configuration, two process windows of cuts through the center of the contact hole along x- and y-directions are shown. The flexible size biasing in x and y enables an almost perfect overlap of the process windows for orthogonal cuts. The optimized source shapes are indicated in the figure insets of the process windows. The determined absorber parameters of the flexible mask stacks and the achieved lithographic performance of all solutions from Fig. 6 are given in Table 2.

Fig. 6

Simulated process windows of selected solutions from the Pareto front of Fig. 5 and corresponding source shapes. pwX and pwY indicate process windows which are extracted from x- and y-cuts to the center of the contact holes.

JM3_18_1_011005_f006.png

Table 2

Optimized mask parameters of the flexible absorber stacks (alloys and listVu) and lithographic performance of solutions from Fig. 6. The reflectivity (ref) and phase (pha) of the absorber stack are computed from thin-film considerations similar to Eq. (1).

NamenkThickness (nm)ref (%)pha (deg)biasX (nm)biasY (nm)Dof (nm)NILSTCE (mrad)
Ta70See Table 12.0150.24.13.7961.900.2
NiSee Table 11.388.11.21.8871.841.2
AttPSM1See Table 16.0180.03.63.11632.490.1
Alloy RuTa0.91530.029251.06.2231.71.51.33482.671.8
Alloy RuW0.90180.022342.017.3221.12.92.33292.742.2
listVu0.91040.035145.05.2216.22.80.52922.650.9

The majority of the Pareto optimal solutions for Ni exhibit pronounced Quadrupole illuminations as shown in the top row of this figure. With the exception of Ni, the best performing solutions are obtained with σinner=0, σouter0.4, and opening angles close to 180 deg. They correspond to a classical circular illumination. The attPSMs in the lower row of Fig. 6 clearly outperform the binary stacks Ta70 and Ni. The attPSMs with a flexible thickness provide also better performance than attPSM1. Of course, the DoF of the binary features can be improved by the application of properly designed assist features. Such assist features are not considered here. All attPSMs exhibit a superior DoF and larger NILS values. Some of them suffer from a lower threshold-to-size, which was not considered in the optimization runs. The reflectivity of the well performing attPSM varies between 5% and 20%. The magnitude of their phase shift, as obtained from thin-film calculations, is considerably above 180 deg. The deformation of the phase of the reflected light from small features as observed in Fig. 2 can be interpreted as a smaller “effective phase” of such features. To reach an effective phase of 180 deg, optimum solutions with a slightly larger thickness or smaller refractive index are found. These phase effects will potentially impact the imaging performance for larger features.

The data in Table 2 and the majority of other data on the simulated Pareto fronts suggest that attenuated PSM and standard Ta-based absorbers provide the best imaging performance for a small oversize of the opening on the mask or positive biasing in x- and y-directions. In contrast, the Ni-absorber exhibits the best combinations of NILS, DoF, and sfil for a negative biasing of the contact hole opening in x- and y-directions. Smaller openings in the Ni-absorber reduce the image intensity and cause lower and disadvantageous threshold-to-size.

3.2.

Dense Contact Arrays

Next, arrays of 18-nm dense contacts with a pitch of 36 nm are investigated. The variable parameters and objectives are the same as for the semidense contact case. The resulting Pareto fronts for different mask material options are presented in Fig. 7. Although the benefits of attenuated PSM stack are less pronounced than for the semidense case, their advantages can be clearly seen. The achievable NILS and DoF with a source filling >0.2 of Ta70 is limited to 2.3 and 230 nm, respectively. Ni performs slightly better and provides an NILS of 2.5 and DoF of 250 nm at sfil 0.2. For comparable source fillings, the variable thickness attPSMs offer NILS values up to 2.8 and a DoF >300  nm. Although there is a trade-off between NILS and DoF for these variable thickness stacks, the trade-off solutions have still a better NILS and DoF than the binary Ta70 and Ni mask stacks. The achievable performance of all alloys and the listVu is very similar, although the best performance is obtained for different combinations of refractive index n, extinction k, and absorber thickness.

Fig. 7

Simulated Pareto fronts of different mask stacks for 18-nm dense contacts with 38-nm pitch versus DoF (horizontal axis), NILS (vertical axis), and source filling (sfil, see colormap). All data points show combinations of mask and source parameters as obtained from the optimization run.

JM3_18_1_011005_f007.png

Figure 8 and Table 3 present the lithographic performance of selected solutions from the Pareto front for different absorber options. As expected for dense features, all good solutions show a pronounced off-axis illumination with an outer σ close to 1. The most aggressive off-axis illumination with the largest inner σ is obtained for the Ni stack. The best solutions for all attPSM have a noticeable smaller inner σ. In general, the attenuated PSMs in the lower row of Fig. 8 are better than the binary stacks Ta70 and Ni and also better than attPSM1. In addition to Ni, which exhibits a rather low, nonpreferable threshold-to-size, all other stacks have a similar threshold-to-size. The reflectivity of the well-performing attPSM varies between 10% and 25%. The correspondingly increased risk of side-lobe printing and potentially smaller process windows for image cuts along the diagonals are not considered in this study and need further investigations.

Fig. 8

Simulated process windows of selected solutions from the Pareto front of Fig. 7 and corresponding source shapes.

JM3_18_1_011005_f008.png

Table 3

Optimized mask parameters of the flexible absorber stacks and lithographic performance of solutions from Fig. 8. The ref and pha of the absorber stack are computed from thin-film considerations similar to Eq. (1).

NamenkThickness (nm)ref (%)pha (deg)biasX (nm)biasY (nm)Dof (nm)NILSTCE (mrad)
Ta70See Table 12.0150.20.10.32222.342.8
NiSee Table 11.388.11.81.42222.513.4
AttPSM1See Table 16.0180.00.61.52472.581.0
Alloy RuTa0.90940.026746.010.0223.50.81.62412.740.6
Alloy RuW0.90050.021939.020.2208.10.12.52922.640.3
listVu0.89920.021639.020.7210.80.90.63752.241.6

Figures 9 and 10 show plots of the intensity and of the phase of the reflected near fields for the selected solutions from the Pareto front in Fig. 8. For each absorber stack, four different distributions of the intensity and phase of the reflected light are shown. These distributions are obtained with illuminations from the four centers of the optimized poles in the insets in Fig. 8. The illumination directions are specified by the incidence angle ϕ and the azimuth angle θ in the titles of the subfigures. Larger illumination angles ϕ of the lower poles cause more pronounced asymmetries and intensity losses for all types of considered mask stacks. The observed strong impact of the illumination direction on the intensity and phase of the reflected near fields demonstrates the strong impact of source imbalancing on lithographic metrics.

Fig. 9

Simulated intensity of the reflected near fields of the selected solutions from the Pareto front of Fig. 8. For each absorber, four near fields for the illumination directions (incidence angle ϕ and azimuth angle θ with respect to the surface normal vector of the mask surface) at the center of the four corresponding poles from Fig. 8 are shown.

JM3_18_1_011005_f009.png

Fig. 10

Simulated phase of the reflected near fields of the selected solutions from the Pareto front of Fig. 8. Yellow solid line: phase shift 180 deg, yellow dashed lines 180±10  deg. See Fig. 9 for further specifications.

JM3_18_1_011005_f010.png

The near field of the optimized Ni-absorber exhibits the most pronounced intensity losses, but also the less pronounced variation of the phase of the reflected near field. The yellow solid and dashed lines in Fig. 10 indicate positions, where the reflected phase is 180 deg or 180±10  deg shifted with respect to the phase at a reference position in the nominally dark area at the lower left corner of the plotted near field, respectively. For the variable thickness attPSM stacks (RuTa, RuW, and listVu) and for Ta70 the areas of high reflectivity on the mask are surrounded with a ring, where the phase of the reflected light transits from <170-deg phase shift in the outer area to >190  deg in the inner area. For the “classically” designed attPSM1, a phase shift of 190 deg is only reached in a small area of the reflected light for the lower poles. For the Ni absorber, the phase of the reflected light remains relatively close to 0 deg almost everywhere in the near field.

Similar to Fig. 2, the pronounced variation of the phase of the reflected light in Fig. 10 explains, why attPSM1 does not offer the best solutions. On the other hand, the identified solutions will potentially exhibit a poor imaging performance for larger features and other pitches.

3.3.

Horizontal Spaces

Finally, 16-nm wide horizontal trenches with pitches between 32 and 100 nm are investigated. A standard y-oriented dipole with variable inner and outer σ and variable opening angle is used in the simulations. The mask bias of the most dense pitch is considered as a free parameter as well. The space widths for all other pitches are determined to print them to 16-nm target CD at the same threshold as for the dense case. No assist features are applied. The other mask options are the same as for the contact cases. The mask and source settings are optimized to obtain the largest DoF of an overlapped process window of all features with a threshold latitude of 10%. The other two objectives are given by the worst NILS and the worst TCE value over all pitches. The obtained NILS value is maximized, whereas the TCE value is minimized. The resulting Pareto fronts for the different mask absorber stacks are shown in Fig. 11.

Fig. 11

Simulated Pareto fronts of different mask stacks for 16 nm through pitch horizontal spaces versus DoF (horizontal axis), NILS (vertical axis), and TCE (see colormap). All data points show combinations of mask and source parameters as obtained from the optimization run.

JM3_18_1_011005_f011.png

The achievable NILS and DoF for the state-of-the-art TaBN stack with a TCE below 5 mrad are below 1.65 and 110 nm, respectively. The Ni stack offers slightly larger NILS and DoF values. The attPSMs provide even higher NILS and DoF values. AttPSMs with lower NILS offer a DoF up to 125 nm. As for the dense contact case, the achievable performance of all alloys and the listVu is similar, but obtained with different combinations of n, k, and absorber thickness. The attainable NILS value for the majority of mask and source settings is limited by pitches around 45 nm. Spaces with this pitch are very difficult to image because of the destructive interactions between neighbored features—the forbidden pitch phenomenon.25 This explains the relative low NILS values in the Pareto plots. Certain design restrictions such as avoiding certain pitches in the layout would help to achieve larger minimum NILS values over the range of considered pitches. Similar, more weight on the threshold-to-size and source filling could help to improve the throughput of the resulting processes.

The lithographic performance of selected solutions from the Pareto front for the different absorber options is presented in Fig. 12 and Table 4. For the Ni stack, two different solutions are reported. The off-axis solution in the center of the upper row of Fig. 12 offers a relatively large DoF of the overlapping process window of about 115 nm, however, the NILS is only 1.45, again limited by the 45-nm pitch. The small dipole configuration provides a better NILS, but only a DoF of 100 nm. Both Ni solutions exhibit smaller BF shifts between different features compared to that one of Ta70. The performance of Ta70 and all other mask absorber stacks for large off-axis dipoles provides only poor NILS or TCE >10-mrad solutions. Therefore, only solutions with small dipoles are shown for these stacks. The lithographic performance data of Ta70 are similar to that one of the Ni solution 2 with a small dipole.

Fig. 12

Simulated process windows of selected solutions from the Pareto front of Fig. 11 and corresponding source shapes

JM3_18_1_011005_f012.png

Table 4

Optimized mask parameters of the flexible absorber stacks and lithographic performance of solutions from Fig. 12. The ref and pha of the absorber stack are computed from thin-film considerations similar to Eq. (1).

NamenkThickness (nm)ref (%)pha (deg)bias (nm)Dof (nm)NILSTCE (mrad)
Ta70See Table 12.0150.21.21051.677.4
Ni1See Table 11.388.11.61131.418.5
Ni2See Table 11.388.12.01001.615.5
Alloy RuRe0.90070.028532.018.1170.45.31191.628.9
Alloy RuTa0.91640.029738.012.1170.44.91171.636.6
Alloy RuTe0.90630.030437.012.2185.95.81141.616.4

The variable thickness attPSM solutions in the lower row of Fig. 12 provide a larger DoF than Ni solution 1 and NILS values similar to Ni solution 2. In contrast to Ni, where the best performance data are obtained for different illuminations, the attenuated PSM stacks achieve higher NILS and DoF values for the same illumination. This can be also seen from the Pareto fronts in Fig. 11, which are slightly shifted to the upper right compared to that one of Ni. Another important advantage of the attenuated PSM solutions is their large threshold-to-size of 0.23 to 0.25, compared to values smaller than 0.16 for the binary stacks. Higher threshold-to-size implies a smaller dose-to-size and a potentially larger throughput of the attPSM stacks. The reflectivity of the best performing attPSM is found in the range 10% to 20% and their thickness is between 30 and 40 nm. Interestingly, the magnitude of the phase shift, as obtained from thin-film calculations, is much closer to 180 deg than for the single-pitch contact cases. The process windows of the alloys indicate only small BF shifts between different pitches.

In the remaining part of this section, alternative views at the data from the Pareto front in Fig. 11 are presented and discussed. Figure 13 shows plots of the refractive index n of the data on the Pareto front versus the achieved NILS and DoF. Representative graphs for the RuTa and RuTe alloys and the listVu are shown. The refractive index values are indicated by the color. The materials with the lowest refractive index are found in the lower right part of the graph. They offer the largest DoF, but come with a lower NILS. Materials with a larger refractive index are located in the upper left part of the graphs and offer a larger NILS.

Fig. 13

Refractive index n of the data on the Pareto front from Fig. 11 versus NILS and DoF. The refractive index values n are indicated by the color.

JM3_18_1_011005_f013.png

The plot of the extinction values k versus DoF and NILS in Fig. 14 provides complementary information on the material properties of the best solutions. For the RuTe alloy and listVu, the high extinction values in the upper left part of the graph offer a large NILS, but their DoF is limited to <110  nm. They correspond to binary or very low-transmission attPSM. Materials with lower k offer an higher DoF, but come with a lower NILS. These configurations can be considered as medium- or high-transmission attPSM. Because of the relative small extinction of tungsten (W), the RuW alloy stacks offer only medium or high-transmission solutions. Nevertheless, the NILS values of the best RuW alloys are >1.7 and offer a DoF up to 115 nm.

Fig. 14

Extinction coefficient k of the data on the Pareto front from Fig. 11 versus NILS and DoF. The values of k are indicated by the color.

JM3_18_1_011005_f014.png

Finally, the DoF and NILS values of data on the Pareto front versus the reflectivity and phase (shift), as obtained from n, k, and absorber thickness by standard thin-film calculations, are plotted in Figs. 15 and 16. Green points indicate high DoF or NILS, respectively. All high DoF solutions exhibit a phase shift close to 180 deg. The highest NILS solutions come with more variations of phase shift and reflectivity values below 10%. Some of them, especially several RuTe and RuTa solutions have reflectivity values below 2% and can be considered as binary masks.

Fig. 15

DoF of the data on the Pareto front from Fig. 11 versus reflectivity and phase shift. The DoF values (nm) are indicated by the color.

JM3_18_1_011005_f015.png

Fig. 16

NILS of the data on the Pareto front from Fig. 11 versus reflectivity and phase shift. The NILS values are indicated by the color.

JM3_18_1_011005_f016.png

4.

Conclusions and Outlook

Alternative absorber materials can mitigate 3-D mask effects. Complementary to previous work in this field, the simulation study in this paper was inspired by realistic material choices and their possible alloy combinations.

The presented simulation results demonstrate that attenuated PSM can provide superior solutions for individual pitches. They can offer higher DoF at similar NILS and TCE values than the best binary mask configurations. Some of the identified best solutions have combinations of refractive index and absorber thickness, which correspond to phase shifts, which are significantly >180  deg. In part, this is caused by the edge diffraction effects, which smooth the nominal phase jump between the absorber area and the mask blank. On the other hand, the largest phase deviations from ±180  deg are observed for single-pitch solutions (contacts) and high NILS solutions in the through pitch space use case. This will potentially impact the imaging performance for features, which are not included in the absorber stack optimization. The larger DoF solutions for spaces exhibit phase shift values closer to 180  deg and are expected to perform good for other use cases as well. The large variety of source shapes, which were obtained in the optimization runs, highlight the importance of off-axis illumination and a optimization of the source shape for different mask absorber stacks. The selection of absorber material impacts the optimum source shape. AttPSMs tend to require more on-axis illumination.

The choice of the most appropriate absorber material depends on the importance of the considered lithography metrics and the specific use case. Multiple objectives such as NILS, DoF, TCE, and source filling have to be considered in the mask stack optimization. Multiobjective genetic algorithms are well suited for the exploration of such problems. There is no single best solution, but only appropriate trade-offs between different objectives. In other words, there is no free lunch.26

The described method can be also employed for a more detailed exploration of high extinction or multilayer absorber stack for other use cases. The results of this paper raise several new questions: Can we afford application specific absorbers? If yes then how many of them? What happens for higher NA systems? Which lessons can we take from the large amount of generated data?

Acknowledgments

This project has received funding from the Electronic Component Systems for European Leadership Undertaking under Grant Agreement No. 662338. This Joint Undertaking receives support from the European Unions Horizon 2020 Research and Innovation Program in Netherlands, France, Belgium, Germany, Czech Republic, Austria, Hungary, and Israel.

References

1. 

J. T. Neumann et al., “Interactions of 3D mask effects and NA in EUV lithography,” Proc. SPIE, 8522 852211 (2012). https://doi.org/10.1117/12.2009117 PSISDG 0277-786X Google Scholar

2. 

S. Raghunathan et al., “Mask 3D effects and compensation for high NA EUV lithography,” Proc. SPIE, 8679 867918 (2013). https://doi.org/10.1117/12.2011643.full PSISDG 0277-786X Google Scholar

3. 

J. Finders, L. D. Winter and T. Last, “Mitigation of mask three-dimensional induced phase effects by absorber optimization in ArFi and extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 15 (2), 021408 (2016). https://doi.org/10.1117/1.JMM.15.2.021408 Google Scholar

4. 

A. Erdmann et al., “Characterization and mitigation of 3D mask effects in extreme ultraviolet lithography,” Adv. Opt. Techn., 6 187201 (2017). https://doi.org/10.1515/aot-2017-0019 1687-6393 Google Scholar

5. 

V. Philipsen et al., “Reducing extreme ultraviolet mask three-dimensional effects by alternative metal absorbers,” J. Micro/Nanolithogr. MEMS MOEMS, 16 041002 (2017). https://doi.org/10.1117/1.JMM.16.4.041002 Google Scholar

6. 

B. Henke, E. Gullikson and J. Davis, “X-ray interactions: photoabsorption, scattering, transmission, and reflection at E = 50-30000 eV, Z = 1-92,” At. Data Nucl. Data Tables, 54 181 –342 (1993). https://doi.org/10.1006/adnd.1993.1013 Google Scholar

7. 

F. Scholze et al., “Update on optical material properties for alternative EUV mask absorber materials,” Proc. SPIE, 10446 1044609 (2017). https://doi.org/10.1117/12.2279702 PSISDG 0277-786X Google Scholar

8. 

A. Rastegar et al., “Study of alternative capping and absorber layers for extreme ultraviolet (EUV) masks for sub-16 nm half-pitch nodes,” Proc. SPIE, 9048 90480L (2014). https://doi.org/10.1117/12.2048074 PSISDG 0277-786X Google Scholar

9. 

D. Hay et al., “Thin absorber extreme ultraviolet photomask based on Ni-TaN nanocomposite material,” Opt. Lett., 41 3791 –3794 (2016). https://doi.org/10.1364/OL.41.003791 OPLEDP 0146-9592 Google Scholar

10. 

K. B. Nguyen et al., “Effects of absorber topography and multilayer coating defects on reflective masks for soft x-ray/EUV projection lithography,” Proc. SPIE, 1924 418 –434 (1993). https://doi.org/10.1117/12.146524 PSISDG 0277-786X Google Scholar

11. 

O. R. Wood et al., “Use of attenuated phase masks in extreme ultraviolet lithography,” J. Vac. Sci. Technol. B, 15 2448 (1997). https://doi.org/10.1116/1.589664 JVTBD9 1071-1023 Google Scholar

12. 

Y. Deng, B. La Fontaine and A. R. Neureuther, “Performance of repaired defects and attPSM in EUV multilayer masks,” Proc. SPIE, 4889 418 –425 (2002). https://doi.org/10.1117/12.467896 PSISDG 0277-786X Google Scholar

13. 

M. Sugawara, A. Chiba and I. Nishiyama, “Phase-shift mask in EUV lithography,” Proc. SPIE, 5037 850 (2003). https://doi.org/10.1117/12.484433 PSISDG 0277-786X Google Scholar

14. 

S.-I. Han et al., “Design and method of fabricating phase-shift masks for extreme-ultraviolet lithography by partial etching into the EUV multilayer mirror,” Proc. SPIE, 5037 314 (2003). https://doi.org/10.1117/12.484731 PSISDG 0277-786X Google Scholar

15. 

H. L. Chen et al., “High reflectance of reflective-type attenuated-phase-shifting masks for extreme ultraviolet lithography with high inspection contrast in deep ultraviolet regimes,” J. Vac. Sci. Technol. B, 22 3049 (2004). https://doi.org/10.1116/1.1813450 JVTBD9 1071-1023 Google Scholar

16. 

H. Shin et al., “Effect of attenuated phase shift structure on extreme ultraviolet lithography,” Jpn. J. Appl. Phys., 48 06FA06 (2009). https://doi.org/10.1143/JJAP.48.06FA06 Google Scholar

17. 

J. U. Lee, S. Hong and J. Ahn, “Very thin extreme ultraviolet mask absorber material for extremely fine pitch patterning,” Appl. Phys. Express, 6 (7), 076502 (2013). https://doi.org/10.7567/APEX.6.076502 APEPC4 1882-0778 Google Scholar

18. 

H. Tanabe et al., “Phase-shifting effect of thin-absorber EUV masks,” Proc. SPIE, 8166 816618 (2011). https://doi.org/10.1117/12.895149 PSISDG 0277-786X Google Scholar

19. 

S.-S. Yu et al., “Limitation of OAI + AttPSM in EUVL,” Proc. SPIE, 8679 86791L (2013). https://doi.org/10.1117/12.2010943 PSISDG 0277-786X Google Scholar

20. 

A. Erdmann et al., “Attenuated PSM for EUV: will they fly?,” in 15th Fraunhofer Lithography Simulation Workshop, (2017). Google Scholar

21. 

A. Erdmann et al., “Attenuated PSM for EUV: can they mitigate 3D mask effects?,” Proc. SPIE, 10583 1058312 (2018). https://doi.org/10.1117/12.2299648 PSISDG 0277-786X Google Scholar

22. 

E. Zitzler, M. Laumanns and S. Bleuler, A Tutorial on Evolutionary Multiobjective Optimization, 3 –37 Springer, Berlin, Heidelberg (2004). Google Scholar

23. 

T. Fühner, “Artificial evolution for the optimization of lithographic process conditions,” Germany (2013). Google Scholar

24. 

V. Philipsen et al., “Actinic characterization and modeling of the EUV mask stack,” Proc. SPIE, 8886 88860B (2013). https://doi.org/10.1117/12.2030663 PSISDG 0277-786X Google Scholar

25. 

X. Shi et al., “Understanding the forbidden pitch phenomenon and assist feature placement,” Proc. SPIE, 4689 985 (2002). https://doi.org/10.1117/12.473427 PSISDG 0277-786X Google Scholar

26. 

D. Wolpert and W. Macready, “No free lunch theorems for optimization,” IEEE Trans. Evol. Comput., 1 67 –82 (1997). https://doi.org/10.1109/4235.585893 ITEVF5 1089-778X Google Scholar

Biography

Andreas Erdmann is the head of the Fraunhofer IISB computational lithography and optics group and teaches as “Privatdozent” at the University of Erlangen. He has more than 20 years of experience in optical and EUV lithography. He chaired SPIE conferences on “optical microlithography” and “optical design” and is an organizer of the International Fraunhofer Lithography Simulation Workshop. He contributed to the development of several advanced lithography simulators including Dr. LiTHO. He is a fellow of SPIE.

Peter Evanschitzky studied electrical engineering at Saarland University, Germany. He received his PhD in the field of optical surface measurement techniques from the Technical University of Munich, Germany. Since 2002, he has been a scientist at the Fraunhofer IISB, Germany. His field of research includes the modeling and simulation of optical and EUV lithography and of optical systems in general. He is coauthor of the lithography and optics simulator Dr. LiTHO.

Hazem Mesilhy is a master’s student in advanced optical technologies in Friedrich-Alexander University Erlangen-Nürnberg and currently doing his master’s thesis at Fraunhofer IISB in the Computational Lithography and Optics Group. He received his bachelor’s degree from Cairo University—Faculty of Engineering, Electronics and Electrical Communication Department. His research domain involves the simulation of the lithographic process and the optimization of the process parameters using multi-objective optimization techniques.

Vicky Philipsen is a researcher at IMEC. She received her PhD in solid-state physics from the University of Leuven, Belgium, in 2001. At IMEC, she joined the Advanced Patterning Department, where her research domain involves the study of mask 3D effects on imaging both by simulations and experiments. Currently, she investigates the imaging performance of EUV mask stacks and high-NA EUV lithography.

Eric Hendrickx: Biography is not available.

Markus Bauer has studied physics and received his PhD in single molecule spectroscopy from the University of Bayreuth, Germany, in 2004. Afterwards he joined Carl Zeiss SMT and worked on metrology and as a system engineer for various products for IC manufacturing such as inspection and lithography optics, excimer laser components and metrology modules, and optics for laser annealing and direct write. Currently, he is working on EUV mask repair.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Andreas Erdmann, Peter Evanschitzky, Hazem Mesilhy, Vicky Philipsen, Eric Hendrickx, and Markus Bauer "Attenuated phase shift mask for extreme ultraviolet: can they mitigate three-dimensional mask effects?," Journal of Micro/Nanolithography, MEMS, and MOEMS 18(1), 011005 (11 August 2018). https://doi.org/10.1117/1.JMM.18.1.011005
Received: 30 May 2018; Accepted: 23 July 2018; Published: 11 August 2018
Lens.org Logo
CITATIONS
Cited by 11 scholarly publications and 1 patent.
Advertisement
Advertisement
KEYWORDS
Photomasks

Nanoimprint lithography

Extreme ultraviolet

Phase shifts

Refractive index

Nickel

Ruthenium

RELATED CONTENT


Back to Top