Presentation
30 April 2023 High NA EUV optics: preparing the next major lithography step
Author Affiliations +
Abstract
In recent years the promise of EUV lithography became a high-volume-manufacturing reality and is currently the main enabler for the latest generations of chips we all know and use. To enable the future generations of chips, with smaller feature sizes ZEISS and ASML are developing a new generation of EUV tools, with an increased NA from the current 0.33 to 0.55 allowing the lithographers to print 8nm half-pitch in a single exposure. In this presentation we will remind briefly on high-NA optics concepts as compared to its 0.33-NA predecessor. We will give insight into how advanced the current production status at ZEISS is: not only into mirror surface polishing, coating, metrology, but also mirror handling and integration as well as shipment. Moreover, besides what happens in high-NA program, you will also see the current status and ongoing improvements to 0.33-NA optics.
Conference Presentation
© (2023) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Paul Graeupner, Daniel Golde, Jan van Schoot, Peter Kuerz, and Judon Stoeldraijer "High NA EUV optics: preparing the next major lithography step", Proc. SPIE PC12494, Optical and EUV Nanolithography XXXVI, PC1249405 (30 April 2023); https://doi.org/10.1117/12.2658370
Advertisement
Advertisement
KEYWORDS
EUV optics

Lithography

Extreme ultraviolet lithography

Mirrors

Extreme ultraviolet

Metrology

Polishing

RELATED CONTENT

Mirrors for nanofocusing x-ray beams
Proceedings of SPIE (December 24 2002)
Cooled EUV collector optics for LPP and DPP sources
Proceedings of SPIE (March 29 2011)
EXTATIC: ASML's alpha-tool development for EUVL
Proceedings of SPIE (July 01 2002)
Actinic review of EUV masks
Proceedings of SPIE (March 20 2010)

Back to Top