Presentation + Paper
28 March 2017 Cutting-edge CMP modeling for front-end-of-line (FEOL) and full stack hotspot detection for advanced technologies
Ushasree Katakamsetty, Jiansheng Jansen Chee, Yongfu Li, Chiu Wing Hui, Yaodong Huang, Ernesto Gene de la Garza
Author Affiliations +
Abstract
As process technology scales down, the number of Chemical Mechanical Polishing (CMP) processes and steps used in chip manufacturing are increasing exponentially. Shrinking process margins increase the risk of excessive metal or oxide thickness or topography variations, causing potential yield problems such as dishing, erosion, resist lifting or printability issues.

Present DFM CMP modeling and applications mainly focus on the hotspot detection and fixing methodology for the Back-End-Of-Line (BEOL) layers [1]. Today, the present methodology is no longer sufficient to eliminate all the CMP related manufacturing defects. There is a strong demand for STI, poly and contact silicon calibrated CMP models to predict and fix the related CMP hotspots.

Shallow Trench Isolation (STI) and Poly CMP planarity is very critical in advanced technologies with Diffusion layer FIN structures and Replacement Metal Gate Process flow [2]. Gate uniformity after CMP will improve device performance, reduce CMP defects and increases the yield. Contact (Tungsten) CMP polishing is another important step that defines contact planarity, which will influence metal layer CMP planarization [3].

This paper will discuss design dependent CMP variations for STI, Poly and Contact CMP steps and showcase the importance of FEOL CMP modeling. We present the methodology for Silicon calibrated STI CMP, Poly and Contact CMP models and the applications of FEOL CMP models in CMP dishing and erosion hotspot analysis. We also present FEOL plus BEOL multi stack CMP simulations applications and provide design guidelines to fix CMP hotspots.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ushasree Katakamsetty, Jiansheng Jansen Chee, Yongfu Li, Chiu Wing Hui, Yaodong Huang, and Ernesto Gene de la Garza "Cutting-edge CMP modeling for front-end-of-line (FEOL) and full stack hotspot detection for advanced technologies", Proc. SPIE 10148, Design-Process-Technology Co-optimization for Manufacturability XI, 101480Q (28 March 2017); https://doi.org/10.1117/12.2262076
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Chemical mechanical planarization

Front end of line

Metrology

Back end of line

Calibration

Data modeling

Silicon

Back to Top