Paper
20 April 2001 Spatially programmable thermal processing module for 300-mm wafer processing
Arthur E.B. Tay, Khiang Wee Lim, Ai Poh Loh, Woei Wan Tan, Weng Khuen Ho, Anya Huang, Fu Jun
Author Affiliations +
Proceedings Volume 4405, Process and Equipment Control in Microelectronic Manufacturing II; (2001) https://doi.org/10.1117/12.425238
Event: Microelectronic and MEMS Technologies, 2001, Edinburgh, United Kingdom
Abstract
Thermal processing of photoresist are critical steps in the microlithography sequence. The post-expose bake steps for current DUV chemically-amplified resists is especially sensitive to temperature variations. Requirements call for temperature to be controlled to within 0.1 degree(s)C at temperature between 70 degree(s)C and 150 degree(s)C. The problem is complicated with increasing wafer size and decreasing feature size. Conventional thermal system, which utilizes single or dual zone heating, is no longer able to meet these stringent requirements. The reason is that the large thermal mass of conventional hot plates prevents rapid movements in substrate temperature to compensate for real-time errors during transients. The implementation of advanced control systems with conventional technology cannot overcome the inherent operating limitation. A spatially-programmable thermal processing module for the baking of 300 mm wafers has been developed.
© (2001) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Arthur E.B. Tay, Khiang Wee Lim, Ai Poh Loh, Woei Wan Tan, Weng Khuen Ho, Anya Huang, and Fu Jun "Spatially programmable thermal processing module for 300-mm wafer processing", Proc. SPIE 4405, Process and Equipment Control in Microelectronic Manufacturing II, (20 April 2001); https://doi.org/10.1117/12.425238
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Temperature metrology

Semiconducting wafers

Control systems

Process control

Sensors

Photoresist materials

Optical lithography

Back to Top