Paper
24 March 2006 Enabling DFM and APC strategies with advanced process metrics
Author Affiliations +
Abstract
Most semiconductor manufacturers expect 193nm immersion lithography to remain the dominant patterning technology through the 32nm technology node. If this remains the case, the interaction of more complex designs with shrinking process windows will severely limiting parametric yield. The industry is responding with strategies based upon design for manufacturability (DFM) and multi-variate advanced process control (APC). The primary goal of DFM is to enlarge the process yield window, while the primary goal of APC is to keep the manufacturing process in that yield window. In this work, we discuss new and innovative process metrics, including simulation-based virtual metrology, that will be needed for yield at the 32nm technology node.
© (2006) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kevin Monahan and Umar Whitney "Enabling DFM and APC strategies with advanced process metrics", Proc. SPIE 6152, Metrology, Inspection, and Process Control for Microlithography XX, 61521E (24 March 2006); https://doi.org/10.1117/12.655894
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metrology

Design for manufacturing

Scanning electron microscopy

Single crystal X-ray diffraction

Overlay metrology

Dielectrics

Critical dimension metrology

Back to Top