Critical Dimension Uniformity (CDU) of photomasks written with 50 kV e-beam writers is very sensitive to scattering and mask process effects such as etch loading. The interaction distances of those effects vary by about 6 orders of magnitude and range from around 10 nm to around 10 mm. With the introduction of 50 kV e-beam writers, tool manufacturers also developed methods for compensating the most significant scattering effects, namely backscattering, often called PEC for Proximity Effect Correction and fogging (also called FEC for Fogging Effect Correction). E-beam writers, including the most advanced multi-beam writers, use local dose modulation of the exposure dose as described in [1]-[3] to compensate for those longer-range scattering effects. This method works reasonably well for older technology nodes but starts to be a limiting factor for most advanced EUV masks which have to meet very high CDU specifications. The reason is that the Critical Dimension (CD) response to dose is not constant across different mask shapes. For example, very small features like sub-resolution assist features (SRAFs) have a higher ΔCD/ΔDose response than larger features, since smaller features have a more gradual image edge slope. So far it has not been reported in the literature that Mask Process Correction (MPC) applications take the e-beam writer corrections into account when correcting for shorter-range non-linearity effects. Ref. [4] describes an attempt to combine forward and backscatter compensation as an off-tool MPC application where all corrections seem to be achieved via dose modulation. This paper describes a method for integrating the e-beam writer dose modulation into the MPC engine, so that the actual exposure dose at any given location on the mask is taken into account when applying shape based, short-range MPC. With this extension of MPC, a fully consistent short- and long-range correction is possible, even when the actual long-range corrections are applied on the e-beam writer and not directly during the offline MPC step.
Critical Dimension Uniformity (CDU) of photomasks written with 50 kV e-beam writers is very sensitive to scattering and mask process effects such as etch loading. The interaction distances of those effects vary by about 6 orders of magnitude and range from around 10 nm to around 10 mm. With the introduction of 50 kV e-beam writers, tool manufacturers also developed methods for compensating the most significant scattering effects, namely backscattering, often called PEC for Proximity Effect Correction and fogging (also called FEC for Fogging Effect Correction). E-beam writers, including the most advanced multi-beam writers, use local dose modulation of the exposure dose as described in [1]-[3] to compensate for those longer-range scattering effects. This method works reasonably well for older technology nodes but starts to be a limiting factor for most advanced EUV masks which have to meet very high CDU specifications. The reason is that the Critical Dimension (CD) response to dose is not constant across different mask shapes. For example, very small features like sub-resolution assist features (SRAFs) have a higher ΔCD/ΔDose response than larger features, since smaller features have a more gradual image edge slope. So far it has not been reported in the literature that Mask Process Correction (MPC) applications take the e-beam writer corrections into account when correcting for shorter-range non-linearity effects. Ref. [4] describes an attempt to combine forward and backscatter compensation as an off-tool MPC application where all corrections seem to be achieved via dose modulation. This paper describes a method for integrating the e-beam writer dose modulation into the MPC engine, so that the actual exposure dose at any given location on the mask is taken into account when applying shape based, short-range MPC. With this extension of MPC, a fully consistent short- and long-range correction is possible, even when the actual long-range corrections are applied on the e-beam writer and not directly during the offline MPC step.
Techniques such as Inverse Lithography Technology (ILT) generate complex curvilinear mask shapes which require advanced features and algorithms for efficient execution of the mask data preparation step. For curvilinear mask shapes, there is a strong correlation between the curvature at the point of interest and the bias required in CLMPC correction. Curvature kernels can be used to take advantage of this correlation and can be used to apply a pre-bias to the CLMPC target layer to move it closer to the ideal CLMPC output. Typical ILT results, which serve as input to CLMPC, contain a large variation of local curvatures and a key challenge for effectively applying curvature-based pre-bias is to build a single pre-bias model that works effectively for varying layout curvatures. This paper presents a novel method to calibrate a comprehensive pre-bias model for a given mask process using contour-based modeling techniques. The results demonstrate that a pre-bias model calibrated with this method can offer significant performance benefits and play a crucial role in the development of efficient and accurate CLMPC flows for advanced mask process applications.
In this work, we present using curvature-based pre-bias to reduce the number of iterations required to reach convergence in curvilinear mask correction. The pre-bias is generated with a simple curvature, which extracts the input contour curvature. Although the curvature-aware model is simple, the created pre-bias is close to the converged MPC correction output. Therefore, fewer iterations with the full model are needed to reach convergence. In the meantime, since the curvature model is simple and does not require high compute resources, the total runtime of curvilinear MPC can be reduced.
The bulk of photomask demand is in technology nodes ≥65nm, using equipment, processes, and materials developed more than two decades ago1. Despite mature processes and tools, mask makers are challenged to meet continuing demand. The challenge comes not only in the forms of increased demand, but also that much of the equipment is approaching the end of its viable lifetime to support and maintain due to parts or expertise availability2. Mask writers in particular are problematic from a technical and financial perspective. Modern equipment and processes can be “too good” to simply use as a direct substitute when original equipment or processes become unavailable During initial lithography and device integration, device manufacturers tailored Optical Proximity Correction (OPC) and other wafer processing conditions based on the original mask signature for multiple mask layers. Changing to state-of-the-art mask fidelity would actually represent a liability, as the altered mask character could result in device shifts, yield reduction, or even unanticipated reliability failures. To account for the improved fidelity, re-optimization of the synergistic patterning between mask, wafer lithography and etch is required. Even on mature technologies, reintegration can require costly, difficult, and time-consuming requalification. While this path has often been pursued when manufacturers declare EOL of tools, we propose instead to contain the change in the mask shop by using Mask Process Corrections (MPC)3. Instead of using MPC to maximize mask fidelity, as is done in advanced nodes, we use MPC to replicate the original mask non-idealities on a new mask process.
With the advancement of semiconductor technology beyond 7nm, the speed and accuracy constraints on computational lithography are tightening. As the mask features become smaller and more complex, Inverse Lithography Technology (ILT) is increasingly being considered as a possible OPC solution in order to maximize process win- dow (PW) and improve CD uniformity (CDU). Until recently there has been a limitation on the adoption of curvilinear masks due to their undesirably long mask write times using vector shaped beam (VSB) mask writers, but with the introduction of Multi-beam mask writers (MBMW) in volume photomask production, mask write time is no longer a limiting factor for the usage of curvilinear masks. The key differences between correcting ILT patterns as compared to correcting rectilinear patterns explain the complexity associated with Curvilinear MPC and the corresponding longer convergence time.
Continuous efforts have been made by the computational lithography community to employ solutions from the ever evolving machine learning technology. Machine learning based solutions have been proposed for a variety of problems like mask making proximity effect correction, model based OPC, ILT and hot spot detection. An artificial neural network is an information processing system inspired by the biological nervous system in the way the brain processes information. It consists of large number of highly interconnected processing elements (neurons), working together to solve specific problems. It is a powerful data modelling tool that captures complex input/output relationships. In this work we present a neural network based solution which predicts a smart pre-bias for curvilinear features, leading to faster convergence of the correction engine.
This work presents our investigations on a new resist-slope kernel for Mask Process Correction (MPC) applications, specifically modeling the contribution (including linear and higher-order) of the resist image slope to the overall etch bias. Mask Process Correction (MPC) models with different complexities, i.e., varying number of kernels, were calibrated and compared against each other for model accuracy, layout correction run-time and dose-dependent residual trends. The results demonstrate that using the resist-slope kernel with a simpler model can allow for up to 40 percent lower correction run-time (compared to complex models) without a major degradation of the overall model accuracy. Hence, this paper presents the resist-slope kernel as a valuable addition to MPC modeling techniques, especially for situations where conventional methods are not sufficient to meet the accuracy or run time requirements.
Curvilinear mask shapes have become one of the resolution enhancement technology options in optical lithography. While this technology has been demonstrated already at the 65 nm node [1], it becomes a more important option beyond the 14 nm node. One of the limiting factors for deploying curvilinear mask shapes for sub-14nm nodes is the need for mask process corrections (MPC). A solution for Curvilinear MPC (CLMPC) is demonstrated and discussed in this paper along with various options for the mask data preparation flows for VSB mask writers and raster based Multi-Beam mask writers. Mask Rule Check (MRC) is identified as a critical step in this data preparation flow for curvilinear shapes, and it is demonstrated that model-based MRC is a viable solution for curvilinear mask shapes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.