Extreme ultraviolet lithography (EUVL) enables integrated circuit (IC) industry to manufacture chips with increased transistor density per volume unit, so the Moore’s law remains true to date. To support the endless requirement of reducing critical dimension (CD), chemically amplified resist (CAR) has been designed to address the resolution, line width roughness, and sensitivity (RLS) in nanoscale level. However, a good Litho performance from an EUV photoresist may not always be transferred into a good etch performance, limiting the stochastic defects after patten transfer is the key to achieve a good after etch inspection (AEI) defectivity. In this paper, we report the EUV photoresist design strategies to acquire good AEI defectivity with the understanding of CAR’s property in a defined pattern transfer scheme with special focus on small molecule in photoresist. The CAR’s Litho performance and the corelated etch performance will be discussed, the component etch rate and its correlation to photoresist etch performance will be covered.
We report on the relationship between resist make-up, filtration process & CH AEI defectivity for an advanced CAR resist with fast dose. In particular, the effect of a pattern transfer scheme on a resist platform with formulation & filtration variation is examined. Resist design & manufacturing strategies for continuous improvement of EUV CAR lithographic performance will be discussed.
Chemically amplified resists (CAR) enable the transition of extreme ultraviolet (EUV) lithography to high-volume manufacture (HVM). Novel photoresists continue to be designed to meet the simultaneous improvement of resolution, line width roughness, and sensitivity (RLS) trade-off. The absorption of EUV photons in the photoresist film leads to emission of primary electrons to form secondary electrons by inelastic scattering events which in turn leads to the activation of the photoacid generator compound. A unique challenge for the use of CAR in EUV lithography is their poor absorption at 13.5nm wavelength. Understanding the photoresist EUV absorption impact on lithographic performance parameters is critical for photoresist design. In this study, we designed photoresist polymers with tuned EUV absorption coefficients by incorporating EUV absorption group(s) onto different CAR polymers. The effect of the EUV absorption increase on polymer properties as well as on resist lithographic performance will be presented.
For designing high-resolution integrated circuits, extreme ultraviolet (EUV) lithography using 13.5-nm light is indispensable; however, stochastic issues which can result in pattern roughness and stochastic defects have yet to be resolved. Many of these issues can relate to the low photon density when compared to DUV lithography. To resolve stochastic concerns in chemically amplified (CA) photoresists, it is important to use appropriate photoacid generator (PAG). EUV PAGs are designed to capture secondary electrons that originate from EUV irradiation to the photoresists. Herein, we focused on in silico analysis of C-S bond cleavage of sulfonium cation series, which is a well-known mechanism in production of photogenerated acids. Change in cation types or substituted functional groups directly affect electron affinities of PAG cations, as well as the efficiency of C-S bond cleavage reaction. Finally, the correlation between actual lithographic performance and calculated properties was carried out to understand the factors that influence efficiency of photoacid generation.
The drive toward tighter pitch and higher density integrated circuits requires continual advancement in lithography. Advanced photolithography tools use extreme ultraviolet (EUV) light with a wavelength of 13.5nm. The high energy nature of EUV light generates secondary electrons in the photoresist that are responsible for the photochemistry that induces the solubility switch. This distinct mechanism has provided the driving force for the development of new photoresists that are sensitive to EUV and highly reactive toward secondary electrons. Despite the considerable change in acid generation mechanism going from DUV to EUV, chemically amplified photoresists continue to be leading photoresist candidates for new process nodes at low NA EUV (0.33 NA) and their use is expected to extend into early high NA (0.55 NA). Herein the after-developer defects (ADI) and EUV P36 LS trench printing performance of a series of chemically amplified photoresists (CAR) with distinct chemistry developed specifically for EUV lithography are compared. In particular, the relationship of different leaving group chemistries and polymer manufacturing processes on stochastic defectivity is explored as well as the connection to photoresist polymer hydrophobicity and homogeneity. The insights gained from this study guide design strategies for improvement of advanced chemically amplified photoresists for EUV lithography.
Per- and polyfluoroalkyl substances (PFAS) have been identified by various regulatory bodies as substances of concern. In line with the objective of safer and sustainable by design, a comprehensive program has been initiated to address these concerns. Part of this program includes the development of non -fluorinated photoacid generators (PAGs) without introducing new chemicals with unintended consequences. Using computational chemistry and synthetic organic chemistry , several scaffolds amenable to PAG library design have been realized. These novel PAGs offer facile tunability and advantages in many critical design parameters such as pKa, diffusion, absorption, shelf-life stability, and scalability. These early generation non-fluorinated PAGs show competitive and similar lithographic performance compared to fluorinated PAGs in i-line, krypton fluoride (KrF) laser, argon fluoride (ArF) laser and extreme ultraviolet (EUV) lithography.
Extreme ultraviolet (EUV) lithography technology empowers integrated circuit industry to mass produce chips with smaller pitches and higher density. Along with EUV tool advancement, significant progress has also been made in the development and advancement of EUV chemically amplified resist (CAR) materials, which allows for the improvement of resolution, line edge roughness, and sensitivity (RLS) trade-off. The scarce number of EUV photons has triggered the development of resist material with high absorption at 13.5 nm. However, a review of open literature reveals very limited reports on the effect of high EUV absorption elements on etch properties of advanced EUV resist. To ensure Moore’s Law continues to move forward, further resist performance improvement is required. In this regard, stochastic defects originating from photon shot noise, materials, and processing variabilities present a unique challenge for the extension of CAR platform for the patterning of smaller nodes. Notably, less attention has been paid to defects formed during the etching process used for pattern transfer. In this paper, we report on the relationship between resist make-up and etch properties. In particular, the effect of incorporation of EUV high absorbing elements are examined. New resist material design strategies for continuous improvement of EUV CAR lithographic performance will be discussed.
Chemically amplified resist (CAR) materials are widely used in advanced node patterning by extreme ultraviolet lithography (EUVL). To support the continuous requirement of reducing critical dimension (CD), CAR has been designed to process at tens of nanometer coating thickness while taking into consideration film roughness, aspect ratio, and etch transfer challenge. In this study, we investigated the impact of the photoresist’s different spin speed for same film thickness on resolution, line width roughness, and sensitivity (RLS) trade-off for Line and Space (L/S) patterns. We selected photoresists with identical chemical composition that differed only in total wt solid% in the solution. Photoresist films at constant thickness were investigated for the spin speed impacts on photoresist film density, hydrophobicity on the film surface, and film surface roughness. The corresponding EUV lithographic performance will be presented.
Conventional chemically amplified resists for extreme ultraviolet (EUV) lithography are comprised of three fundamental components: a photoreactive, acid-generating species (PAG), an acid reactive polymer for solubility switching, and a basic component for acid diffusion control. The PAG component is typically derived from an organic onium salt, wherein the cation’s capacity to capture secondary electrons generated upon EUV irradiation of the resist underscores their reactivity in lithographic applications. Thus, effective rational design of these materials is critical for controlling both sensitivity of the resist and feature regularity. Herein, we describe a robust method for in silico prediction of fundamental properties of onium cations including electron affinity, LUMO energy, and relative charge distribution. We correlate these theoretical values to experimental measurements and further to the influence of PAG cation properties on resist performance under EUV exposure. In addition to the reactive properties of these cations, we analyze these lithographic data in the context of the physicochemical properties of the cations, particularly polarity. In all, the results of this study suggest that while electron affinity of the PAG cation may drive reactivity in response to EUV exposure, multiple factors must be considered in the design of cations for optimal overall resist performance.
In this study, we present evaluation results of the 905nm pulse laser diode that has power of over 140W adopting 4stack epitaxy structure with 200um×15um emitter size for autonomous vehicle lidar and other lidar applications. The 4stack epitaxy structure was composed of AlGaAs/InGaAs composition and tunnel junction with GaAs and grown by MOCVD. As a results of the characteristic evaluation, 905nm pulse laser diode with 4stack epitaxy obtained an output of about 149.6W under the conditions of 1KHz cycle, 0.01% duty, and 40A input current. Also developed 905nm pulse laser diode achieved an operating voltage of 13V, a horizontal angle of 9.3°, a vertical angle of 29.1°, and peak wavelength of 905.2nm with TO-56 package respectively.
Further increasing integrated circuit storage capacities while reducing the cost has led to the development of stacked 3D structures for NAND application. The 3D NAND structures can be enabled by using KrF photoresist coated at high thickness, and a staircase pattern can be generated through multiple etch steps. Pushing KrF lithography to high coating thickness creates several challenges for the materials and formulation design, such as lack of film transparency, as well as film cracking and delamination. The photoresist used in KrF lithography is based on poly(hydroxystyrene) (PHS) type polymers, which is associated with unique technical challenges for printing 10s microns scale features due to its high absorbance at 248 nm and its high glass transition temperature, Tg. Here we report the development of novel KrF photoresist materials for 3D NAND application with sufficient film transmittance, which forms desired straight profile with no footing. Our results also indicate that the film cracking could be mitigated by additive and process condition optimization, and film delamination could be addressed by adding an adhesion promotion layer.
We present evaluation results of the 940nm 400mW transverse single-mode laser diodes (LDs) with real reflective index self-aligned (RISA) structure based on graded index separate confinement hetero structures (GRIN-SCH) for a three-dimensional (3D) depth sensor. The AlGaAs/InGaAs laser diodes that are adopted with RISA structure have many advantages over conventional complex refractive index guided lasers, what include low operating current, high temperature operation and stable fundamental transverse-mode operation up to high power levels.
Simultaneously, the RISA process is easy to control the waveguide channel width and does not require stable oxide mask for the regrowth of aluminum alloys, so it is possible to manufacture high output power and high reliability laser diodes.
At the optical power 400mW under the continuous-wave (CW) operation, Gaussian narrow far-field patterns (FFP) are measured with the full-width at half-maximum vertical divergence angle of 23°. A threshold current (Ith) of 33mA, slope efficiency (SE) of 0.81mW/mA and operating current (Iop) of 503mA are obtained at room temperature. Also, we could achieve catastrophic optical damage (COD) of 657mW and long-term reliability of 60°C with TO-56 package.
Miniaturization of lithographic feature sizes via shrink technologies is under development in order to extend 193nm immersion lithographic capabilities and achieve sub-20nm critical dimensions (CD) in integrated circuit manufacturing before extreme ultraviolet lithography comes online. It was found that precisely controlled polymers comprising a grafting unit and a shrink unit are capable of reducing pattern dimensions formed in negative tone development (NTD) photoresists. Fundamental studies were pursued regarding the type of grafting chemistry, the shrink monomer and polymer backbone choice, and differences between polymer architectures. Mechanistic studies demonstrated that shrink amount could be tuned by choice of monomer, polymer molecular weight, and choice of grafting unit. These studies permitted the development of several generations of grafting polymer platforms to meet a range of desired CD shrink targets from less than 10nm shrink to 30nm shrink on contact hole or line/space patterns. The shrink technology further exhibits improved process window compared to optical lithography at the same CD and low defectivity, highlighting the use of this technology in advanced semiconductor processing nodes.
In this paper, we report the results of our investigation about 940nm AlGaAs/InGaAs single mode laser diodes adopting graded index separate confinement hetero structures (GRIN-SCH) and p, n-clad asymmetric structures with improved temperature and small divergence beams characteristics under the high output power operation for a 3D motion recognition sensors. The GRIN-SCH design provides good carrier confinement and prevents current leakage by adding a grading layer between clad and waveguide layers. In addition, the dopant concentration of the cladding layer is optimized to reduce resistance and internal loss. At the optical power 300mW, measured average values of threshold current (Ith), operating current (Iop), slop efficiency (SE), operating voltage (Vop), peak wavelength (λ) are 80mA, 352mA, 1.12mW/mA, 1.87V, 940nm respectively. Also, we could obtain catastrophic optical damage (COD) of 750mW and excellent long-term reliability characteristic 60°C with TO-56 package. From the experimental measurement results, the developed 940nm high power laser diode is suitable optical source for the sensor applications including 3D motion recognition sensors.
In the semiconductor manufacturing industry, photoresist materials are used for transferring an image to one or more underlying layers. To increase the integration density of semiconductor devices and reduce cost of ownership, continuous development efforts towards advanced lithography processes, such as multiple patterning methods, have been devoted to reduce critical dimension. Multiple patterning processes, however, often encounter challenges to obtain an appreciable process window due to the poor aerial image contrast at the defocus region, not to mention the complexity in process and high cost. Herein, we report a novel CTOTM photoresist trimming solution as a post-lithography spin-on method to enhance photoresist performance in not only effectively reducing critical dimension, but also enabling larger process window, lower line width roughness, less scum and lower defectivity. This is a versatile process that is compatible with both acrylic and polyhydroxystyrene types of photoresists, therefore allowing it to become a general process for a wide range of applications across ArF, KrF and EUV lithography.
A continuing goal in integrated circuit industry is to increase density of features within patterned masks. One pathway being used by the device manufacturers for patterning beyond the ~80nm pitch limitation of 193 immersion lithography is the self-aligned spacer double patterning (SADP). Two orthogonal line space patterns with subsequent SADP can be used for contact holes multiplication. However, a combination of two immersion exposures, two spacer deposition processes, and two etch processes to reach the desired dimensions makes this process expensive and complicated. One alternative technique for contact hole multiplication is the use of an array of pillar patterns. Pillars, imaged with 193 immersion photolithography, can be uniformly deposited with spacer materials until a hole is formed in the center of 4 pillars. Selective removal of the pillar core gives a reversal of phases, a contact hole where there was once a pillar. However, the highly conformal nature of conventional spacer materials causes a problem with this application. The new holes, formed between 4 pillars, by this method have a tendency to be imperfect and not circular. To improve the contact hole circularity, this paper presents the use of both conventional spacer material and soft spacer materials. Application of soft spacer materials can be achieved by an existing coating track without additional cost burden to the device manufacturers.
Shih-wei Chang, Jessica Evans, Shouren Ge, Valeriy Ginzburg, John Kramer, Brian Landes, Christopher Lee, Greg Meyers, Daniel Murray, Jong Park, Rahul Sharma, Peter Trefonas, Jeffrey Weinhold, Jieqian Zhang, Phillip Hustad
Directed self-assembly (DSA) of block copolymers (BCPs) is a promising technology for advanced patterning at future
technology nodes, but significant hurdles remain for commercial implementation. The most widely studied material for
DSA is poly(styrene-block-methyl methacrylate) (PS-PMMA), but the relatively weak segregation strength of PSPMMA
results in some limitations. This paper reports on these limitations for PS-PMMA and highlights a path to
success through use of more strongly segregated “high-χ” block copolymers. In general, stronger segregation is
predicted to lower defectivity at equilibrium, but unfortunately, kinetics of self assembly also becomes much slower as
segregation strength increases. Recognizing diffusion is much faster for cylinder morphologies than lamellar ones, we
have investigated new cylinder-forming BCPs that enable defect elimination with thermal annealing processes. In
addition, a formulation strategy is presented that further improves the kinetics of the assembly process, enabling
tremendous improvements in defectivity over simple BCP systems. Excitingly, successful chemoepitaxy DSA with a
high-χ lamellar BCP is also demonstrated using a thermal annealing process and no top coat. These technologies hold
promise to enable DSA with thermal annealing processing across pitches from 40 - 16 nm.
The negative tone development process enables the printing of dark field features on wafer using bright field masks
with a manufacturing capability for back-end-of-line processing. The performance of NTD photoresist has advanced
along two fronts: namely common process window for dense and semi-dense contacts and the resolution and line
width roughness of isolated trenches. Furthermore, the chemistry has evolved by the convergence of capability for
printing line/space and contact hole using a single photoresist formulation. The process performance of a series of
NTD photoresist is reported. Particular focus is placed on process latitude, CDU, thickness control, LWR and
resolution limit.
Herein, we investigate the dissolution behavior of 193-nm chemically amplified resist in different organic solvents at a
mechanistic level. We previously reported the effect of solvent developers on the negative tone development (NTD)
process in both dry and immersion lithography, and demonstrated various resist performance parameters such as
photospeed, critical dimension uniformity, and dissolution rate contrast are strongly affected by chemical nature of the
organic developer. We further pursued the investigation by examining the dependence of resist dissolution behavior on
their solubility properties using Hansen Solubility Parameter (HSP). The effects of monomer structure, and resist
composition, and the effects of different developer chemistry on dissolution behaviors were evaluated by using laser
interferometry and quartz crystal microbalance. We have found that dissolution behaviors of methacrylate based resists
are significantly different in different organic solvent developers such as OSDTM-1000 Developer* and n-butyl acetate
(nBA), affecting their resist performance. This study reveals that understanding the resist dissolution behavior helps to
design robust NTD materials for higher resolution imaging.
In this paper, next generation 780nm monolithic individually addressable 8 beam diode laser with 10mW optical
power for laser scanning unit were developed. Beam to beam spacing is 30μm and air bridge interconnection process
was developed for individual operations. Measured average values of threshold current(Ith), operating current(Iop),
operating voltage(Vop), slope efficiency(SE), horizontal beam divergence(FFH), vertical beam divergence(FFV), and
peak wavelength(λ) from 5 specimens are 14.91mA, 28.79mA, 1.91V, 0.72mW/mA, 8.28°, 31.89°, and 785.67nm
respectively. Major electro-optic parameters from 8 emitters are within 3% variation for each device. Also we measured
power droop that had a strong influence on printing image at 600Hz with duty 10% and 90% and we can obtained droop
rate within 2% in each channel at room temperature and 10mW power. From 500Hr reliability life test result at 70°C,
we obtained Iop variation within 1% in each channel with 10mw power.
From the experimental measurement results, we can assure that the developed 8 beam diode laser is suitable optical
source for high speed laser scanning unit in multi-function printing system and laser beam printers.
Ionic polymer transducers (IPT) are a class of devices that leverage electroactive polymers (EAP), specifically
electrolyte-swollen ionomeric membranes, to perform energy conversions. Energy transformation from input to output is
referred to as transduction and occurs between the electrical and mechanical domains. The present study expands on IPT
investigations with a novel series of sulfonated polysulfones (sBPS), with specific interest in the effect of polymer
topology on actuator performance. A hydrophilic ionic liquid was combined with a series of sBPS through a casting
method to create hydrated membranes that contained target uptakes (f) of the diluent. The ionic liquid's hydrophilic, yet
organic nature raised the issue of its degree of compatibility and miscibility with the microphase separated domains of
the host ionomeric membrane. Initial studies of the ionomer - ionic liquid morphology were performed with synchrotron
small angle X-ray scattering (SAXS). The effective plasticization of the membranes was identified with dynamic
mechanical analysis (DMA) in terms of varied storage modulus and thermal transitions with ionic liquid uptake.
Electrical impedance spectroscopy (EIS) was employed to quantify the changes in ionic conductivity for each sBPS
ionomer across a range of uptake. Combined results from these techniques implied that the presence of large amounts of
ionic liquid swelled the hydrophilic domains of the ionomer and greatly increased the ionic conductivity. Decreases in
storage modulus and the glass transition temperature were proportional to one another but of a lesser magnitude than
changes in conductivity. The present range of ionic liquid uptake for sBPS was sufficient to identify the critical uptake
(fc) for three of the four ionomers in the series. Future work to construct IPTs with these components will use the critical
uptake as a minimum allowable content of ionic liquid to optimize the balance of electrical and mechanical properties
for the device components.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.