The lithographic imaging performance of contact holes is limited by the efficient use of light and contrast fading caused by 3D mask effects. ”Split pupil” exposures have been proposed to mitigate contrast fading for line-space-patterns. We present a simulation study investigating the extendibility of split pupil exposures to dense arrays of contacts on dark field and light field masks using different mask absorber options. Our simulations indicate that the combination of split pupil exposures and low-n/low-k absorbers can offer comfortable imaging performance for arrays of 10 nm square contacts with a pitch of 20 nm on a dark field mask. These results indicate the potential of combining low-n absorbers and split pupil exposure strategies to enable high-NA EUV lithography to reach its ultimate optical resolution limits.
We investigate the induced best focus shifts by the mask absorber. The effect of n, k, bias and target size on BF shifts is studied. We consider lines and spaces with pitch = 5× target size. We present a correlation between the BF shifts and Zernike phase offset and the fourth-order Zernike coefficient that represents defocus. When no mitigation strategies are applied, low n absorber materials can show stronger BF shifts and stronger phase variation versus target size. The knowledge gained from this study will help to identify combinations of absorber properties (n, k, thickness) and biasing strategies, which provide high NILS, and threshold to size and enable proper focus control.
We employ the hybrid mask model of the Fraunhofer IISB simulator Dr.LiTHO to investigate the role of the absorber and multilayer for the observed image blur and contrast loss mechanisms. Hybrid mask model decomposes the EUV mask into the absorber and multilayer part. Both parts can be described by real 3D objects or idealized 2D objects. An idealized absorber is specified by the transmission tr and phase of the transmitted light. An idealized multilayer mirror is characterized by a certain reflectivity value, by an angular range or bandwidth (BW) where the light is reflected and by a distance Zeff from the absorber. The diffraction of the incident light by the absorber, the reflection of the diffracted light by the multilayer mirror, and the second diffraction of the reflected light by the absorber are combined by transfer matrices. The obtained superposed diffraction orders provide characteristic diffraction efficiencies and phase values of discrete orders. The presented analysis of the light diffraction from EUV mask and resulting imaging metrics provides several novel insights into the root causes of M3D effects in high NA EUV imaging. The combination of double diffraction by an ideal (thin) absorber and reflection by an ideal (thin) multilayer with a limited reflectivity range (BW <= 15°) explains the peculiarities of the optimum phase of attenuated PSM for EUV. In contrast to phase shift masks for DUV with an optimum phase of 180°, the optimum phase of attenuated phase shift mask for EUV is in the range between 200° and 250°.
The optimum value varies with the pitch and a chosen trade-off between large image contrast (normalized image log slope - NILS) and large threshold to size. The real 3D absorber and multilayer contribute to the specific value of the best absorber thickness and phase as well, This finding explains previous observations of this phenomenon. Waveguide effects in real absorbers with low refractive index n are at the basis of the good imaging performance of low n materials. Low n absorber materials exhibit significant sensitivity to illumination direction and feature size. The described double diffraction of light by the absorber introduces characteristic jumps in the optimum bias (and other imaging metrics) versus pitch. The optimized phase/thickness of low n (low k) absorbers can extend the performance of high NA EUV imaging to pitches below 10 nm.
To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with 0.55NA that enables 8nm HP resolution and a high throughput.
In this paper we will discuss the imaging performance and technology solutions to support our customers device roadmap from High-NA insertion towards low-k1 extension for critical Logic/MPU and DRAM layers. We will address various technology solutions that enable a high contrast through focus for decreasing feature size, such as mask stack optimization, computational litho solutions and advanced illumination shapes.
Background: Explaining imaging phenomena in EUV lithography requires more than a single point of view. Traditionally, the diffraction characteristics of EUV masks are analyzed in terms of the amplitude and phase of diffraction orders that are generated by the absorber pattern.
Aim: We propose a complementary perspective to view the EUV mask absorber openings as waveguides.
Approach: Comparisons between RCWA simulations and analytical solutions to waveguide equations are performed to prove that EUV mask absorbers behave as a waveguide.
Results: This perspective can explain phenomena left unexplained by conventional analysis of far-field diffraction orders.
Conclusions: The waveguiding effect in EUV mask absorbers explains the need for low refractive index and high extinction materials. The waveguide perspective explains why attenuated phase shift masks behave differently for EUV than our traditional understanding would suggest.
We have used the MET5 exposure system using “dark field” lithography, where a small σ=0.1 source is wholly contained in the 30% Central Obscuration of the 0.5NA mirror optics. One goal of this paper is to quantify and explain the superior image contrast of dark field lithography over normal dipole imagery. We demonstrate that almost ideal grating images can be obtained over the pitch range from 15 to 25nm. With the x-polarized source, gratings with Horizontal lines (TE polarized) have the best image contrast, while Vertical lines (TM polarized) have lesser contrast, showing less contrast as pitch decreases. By comparing lines of different orientation, the impact of polarization on lithography can be assessed. At the 16nm pitch, the experimental data showed roughly 20% improvement of the LWR metric for TE over TM. Besides the image contrast, we also calculate the effective contrast Ceff by folding in a Gaussian resist blur. These calculations highlight the need to find resist processes with small blur, e.g. with σ < 3nm. Exposure latitude scales with Ceff, as does LWR, LCDU and stochastic defect levels. Therefore optimization of Ceff, at the small pitches needed for production, is of high importance. We have also looked at dense arrays of bright spots produced with dark field imaging, which can produce either dense contact holes or dense pillars depending on the resist process tone. Our experimental results used a negative tone Metal Oxide resist process to print pillar arrays with pitches of 22, 24 and 26nm. Our experiments, and most of our simulations, were done with a standard EUV mask using a Ta-based absorber. Additional simulations explored the use of alternative absorber materials which can increase the dark field image intensity. For example, 25nm thick Ru absorber can more than double the image intensity relative to Ta absorber. The MET5 dark field litho imaging method is well-suited for testing resist processes in advance of the high NA tool availability. Both dense line gratings and dense hole/pillar array images can be imaged with good image contrast. However, pure dark field imaging is not capable of producing all the patterns needed for production, such as the larger pitch structures needed for overlay and alignment marks. On the other hand, there is a kind of “partial” dark field imagery that is very promising for production imaging with the high NA tool. Allowing Source Mask Optimization (SMO) software to include source points within the obscured part of the pupil, i.e. “dark field source points”, implements this capability in a very natural way and seems attractive for High Volume Manufacturing (HMV) applications with the high NA tool in the near future.
We propose and prove an alternative view of patterns on the EUV mask absorber as waveguides. The geometrical similarities between waveguides and EUV mask after stripping out the multilayer is shown. The waveguiding effect inside the EUV mask absorber is demonstrated by comparing rigorous RCWA (rigorous coupled-wave analysis) to the waveguide analytical solution. Our investigations of the near field of EUV mask demonstrate that waveguide effects dominate transmission of light through openings in the absorber of EUV masks.
This perspective of the EUV mask is not to provide a model that explains all phenomena in EUV imaging scenarios or to propose other absorber materials. Instead, the proposed waveguide perspective of EUV masks helps to understand the root causes of certain far field and imaging characteristics of EUV masks.
Today’s EUV masks are optimized for maximum reflectivity at 6o angle of incidence to support imaging on the 0.33NA scanners. The High-NA EUV scanner will have an NA of 0.55 and anamorphic optics, as right balance between productivity and keeping the angles on mask level compatible with the current EUV masks. However, for selected use-cases increased Mask-3D effects are observed. The enlarged angular spread on mask for vertical L/S may cause, amongst others, an increased non-telecentricity variation across slit compared to 0.33NA, potentially leading to on-product overlay errors. In this paper, we will show the root-cause of these enhanced M3D effects for High-NA EUV and the role of the EUV mask multilayer. We will show how an ideal EUV multilayer could look like to mitigate these M3D effects and propose a practical embodiment in the form of a RuSi multilayer stack. We will show some first experimental results of an ion beam deposited RuSi test sample, assess the main challenges from manufacturability point of view and what imaging performance can be expected for such a mask multilayer applied for High-NA EUV.
The next-generation high-NA EUV scanner is being developed to enable patterning beyond the 3-nm technology node. Design and development of the scanner are based on rigorous litho-simulations. It is important to verify key imaging simulation findings by means of aerial image experiments with representative high-NA scanner characteristics. The first ASML-SHARP joint experiment was done with lines and spaces with pitches down to 16 nm wafer scale (1x). The experimental results confirmed the key litho-simulation findings: central obscuration’s impact on high-NA imaging and mitigations of obscuration’s impact using flex illuminations.
Mitigation of 3D-mask effects is a requirement for pushing high-NA (0.55) EUV lithography to its limits. Both the absorber and the reflective multilayer parts of the EUV mask contribute to the 3D-mask effects. This paper focuses on the investigation and optimization of the multilayer. The impact of different multilayer parameters on the imaging performance is investigated and used to explain the optimization outcome. Multilayer optimization yields better lithographic performance by including imaging metrics in the merit function instead of reflectivity data only. Different geometrical representations of the multilayer are optimized and their performances are compared. The results show a tradeoff among different lithographic metrics with improvements compared to a reference obtained from reflectivity optimization.
The EUV pellicle is a thin membrane intended to shield the reticle from particles. Any particles on the pellicle will be out of focus but large particles can still locally influence pattern formation. This work experimentally determines the local imaging influence dependence of particle size. A predictive model for CD change was formulated and validated. Furthermore, a linear relation between the change of CD vs. LWR was derived and found to be driven by the resist only. Therefore, the CD and LWR influence from a particle can be predicted, enabling meaningful specification limits for particle size from an imaging perspective.
The continuation of Moore’s law demands the continuous development of EUV lithography. After the NXE:3400B scanner, currently being inserted in high-volume manufacturing (HVM), the next logical step is to increase the numerical aperture (NA) of the EUV projection optics, from 0.33 to 0.55, resulting in a high-NA EUV scanner. Looking back at the history of lithography tools developed in the last decades, we can see that such an increase of NA is, in relative terms, unprecedented (0.55 = 0.33 + 67%). This significant step forward in the NA is a challenge on many fronts and requires many adaptations. In this paper you will find an overview of the key concepts that make high-NA lithography different on imaging end, how the imaging assures the continued life of Moore’s law for the years to come and what are potential mask-related developments that would contribute to high-NA’s success.
Defectivity in EUV scanners gains much more importance as they move towards the high-volume manufacturing. The reticle (mask) needs to be protected from particle contamination, both inside and outside the scanner environment. One widely used method to realize this is to make use of a thin protective layer on top of the mask, which is called pellicle. In this work we investigate the impact on printed features caused by particles laying on top of the pellicle for a High-NA EUV scanner. The study was supported by simulations using the most up to date High-NA EUV scanner projected design. The most relevant lithographic metrics (namely, change in Critical Dimension, Normalized Intensity Log Slope, dose sensitivity, non-Telecentricity, Pattern Shift and Mask Error Enhancement Factor) have been considered in the study. An experimentally calibrated simulation model is used to predict the particle transmission as function of the particle size. The goal is to set a well-reasoned (based on imaging requirements) maximum particle size specification for production of pellicles and cleanliness inspection. Some sets of mask patterns and sources (use cases) that likely will be used in high volume manufacturing are considered. Furthermore, a comparison with existing 0.33 NA EUV simulation results is done.
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of future devices, a new High-NA EUV platform is being developed in a joint collaboration between ASML and Carl Zeiss SMT. The High-NA EUV scanner employs a novel Projection Optics Box (POB) design concept with a numerical aperture of 0.55 that enables 8nm half pitch resolution and a high throughput. The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer (ML) masks at increased NA, however also has implications on the system design and usage of the tool. The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen for minimal impact on imaging performance. Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% pupil fill ratio (PFR). In this paper, we will show that High-NA EUV delivers increased resolution and contrast, thereby supporting EPE requirements of future nodes. We will show that the obscuration can benefit the imaging performance of via- and cutmask-layers by blocking the zeroth order light from the pupil, enhancing image contrast. Further contrast enhancement is possible by introducing alternative absorber stacks.
Understanding, characterization and management of 3D mask effects, including non-telecentricity, contrast fading and best focus shifts, become increasingly important for the performance optimization of future extreme ultraviolet (EUV) projection systems and mask designs. Novel imaging configuration and central obscuration in high NA EUV projection systems introduce additional imaging effects. A simplified coherent imaging model, rigorous mask diffraction simulations, images for individual source areas and a hybrid mask model are employed to analyze the root causes of observed imaging artifacts. Based on this, several image enhancement strategies including modifications of mask and source are devised and investigated for lines/spaces.
Moore’s law drives the doubling of the number of transistors per unit area every 2-3 years. To enable cost-effective shrink of these future devices, a new High-NA EUV platform is being developed. The High-NA EUV scanner employs a novel POB design concept with a numerical aperture of 0.55NA that enables 8nm HP resolution and a high throughput.
The novel POB design concept tackles the limitations in angular acceptance of the EUV multilayer masks at the increased NA, but also has implications on the system design and usage of the tool.
The introduction of a central obscuration in the POB reduces the angular load on the ML mirrors inside the POB, enabling a high transmission and therefore high throughput. The obscuration size has been chosen such to have minimal impact on imaging performance.
Furthermore, the High-NA scanner will be equipped with a highly flexible illuminator, similar to ASML’s NXE:3400 illuminator, that supports loss-less illumination shapes down to 20% PFR.
Since imaging is done with unpolarized EUV light, so-called vector effects at high resolution need to be accounted for appropriately.
In this paper we will show the implications of the High-NA EUV system design on key performance metrics such as global CDU, pattern shift uniformity (overlay) and contrast for low local CDU at high throughput for several relevant use-cases.
Current EUV exposure systems employ a numerical aperture (NA) of 0.33. This relatively small NA is a consequence of geometrical design limitations of all reflective projection systems with a 4 demagnification in the orthogonal x- and y-directions of the image plane. Anamorphic imaging, which employs different demagnification in horizontal (y) and vertical (x) direction can increase the NA to a value of 0.55. The consequences of using anamorphic high-NA imaging system have to be studied by rigorous methods. Since the range of illumination angles of the anamorphic system is different in x and y directions, one way to understand the involved phenomena, is to investigate and compare the impact of illumination angles for both the high-NA 4 8 anamorphic system at 0.55NA and the lower-NA 4 4 system at 0.33NA. We employ fully coherent that is single source point illumination and imaging to study the impact of the illumination direction on the most relevant lithographic metrics. These metrics include the resulting feature size or critical dimension (CD), the feature position, a local contrast or the normalized image log slope (NILS) and the best-focus position of the projected images. In this study, aerial images from a uniformly-distributed grid of 230 illumination positions were computed and analyzed. The results of the simulation study confirmed that larger illumination angles cause more pronounced shadowing effects and significant variations of the position and feature size versus the illumination direction. The larger demagnification direction of the anamorphic system involves a smaller object-side angular spread of the illumination direction, resulting in less pronounced variation of CD and position versus the illumination direction compared to the isomorphic system. Both systems exhibit a drop of the NILS for more oblique angles. However, the larger image side angles of the high-NA system result in more pronounced polarization effects, which reduce the NILS values compared to that of the lower NA system. The high NA achieved by anamorphic imaging increases the importance of 3D mask effects in EUV lithography. It is not a priori known, which of these 3D mask effects can be attributed to the absorber or the multilayer part of the mask. A hybrid mask simulation approach addresses this question. In the second part of this study, simulations using an hybrid of real and ideal mask elements were performed in an attempt to understand their individual effects of the mask elements and which mask element contributes to which of the observed effects.
To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore’s law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to ~ +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non-telecentricity. We will explore the solutions needed to mitigate these effects and to offer high quality imaging to be able to meet the required EPE performance in both orientations.
This paper presents some of the main imaging properties introduced with the design of a possible new EUV High-NA (NA > 0.5) exposure system with anamorphic projection lens, a concept not new in optics but applied for the first time in semiconductor lithography. The system is projected to use a demagnification of 4 in the X-direction and of 8 in the Y-direction.
We show that a new definition of the Mask Error Factor needs to be used in order to describe correctly the property introduced by the anamorphic optics. Moreover, for both 1-Dimensional (1D) and 2-Dimensional (2D) features the reticle writing error in the low demagnification direction X is more critical than the error in high demagnification direction Y.
The effects of the change in demagnification on imaging are described on an elementary case, and are ultimately linked to the basic physical phenomenon of diffraction.
EUV lithography for resolution below 8 nm half pitch requires the numerical aperture (NA) of the projection lens to be significantly larger than the current state-of-the-art 0.33NA. In order to be economically viable, a throughput in the range of 100 wafers per hour is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently the shadowing and the variation of the multi-layer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4x magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that we have to double the magnification to 8x in order to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of current 0.33NA step and scan systems. This would reduce the throughput of the high-NA scanner to a value significantly below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable to print fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a throughput in excess of 150 wafers per hour by increasing the transmission of the optics as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution. The proposed 4x/8x magnification is not the only logical solution. There are potentially other magnifications to increase the scanner performance while at the same time reducing the m ask requirements.
EUV lithography for resolution below 8 nm half pitch requires the numerical aperture (NA) of the projection lens to be
significantly larger than the current state-of-the-art 0.33NA. In order to be economically viable, a throughput in the range
of 100 wafers per hour is needed.
As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently
the shadowing and the variation of the multi-layer reflectivity deteriorate the aerial image contrast to unacceptably low
values at the current 4x magnification.
The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that we
have to double the magnification to 8x in order to overcome the shadowing effects. Assuming that the mask
infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field
size of current 0.33NA step and scan systems. This would reduce the throughput of the high-NA scanner to a value
significantly below 100 wafers per hour unless additional measures are taken.
This paper presents an anamorphic step and scan system capable to print fields that are half the field size of the current full
field. The anamorphic system has the potential to achieve a throughput in excess of 150 wafers per hour by increasing
the transmission of the optics as well as increasing the acceleration of the wafer stage and mask stage. This makes it an
economically viable lithography solution.
The proposed 4x/8x magnification is not the only logical solution. There are potentially other magnifications to increase
the scanner performance while at the same time reducing the mask requirements.
This paper presents the predicted imaging performance for an anamorphic EUV high NA (>0.5) exposure system with a 4x magnification in X orientation and a 8x magnification in Y orientation. It has a half field size with which the productivity requirements can be maintained. The main findings of the study are that horizontal and vertical features have very similar process window sizes despite magnification difference. A new definition of the Mask Error Factor (MEF) is introduced that is more relevant for anamorphic imaging; it shows that reticle CD errors have 2x larger impact for vertical compared to horizontal features. For dark field horizontal two-bar trenches relatively small mask induced focus shift was observed compared to the 0.33NA case, probably due to the relatively small Mask Angle of Incidence in the Y orientation with the 8x magnification. Finally a Ni type absorber has potential to further improve imaging performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.